MEASUREMENT OF THE SILICON DIOXIDE CONCENTRATION IN HAFNIUM SILICATE GATE DIELECTRICS WITH A TXRF

Size: px
Start display at page:

Download "MEASUREMENT OF THE SILICON DIOXIDE CONCENTRATION IN HAFNIUM SILICATE GATE DIELECTRICS WITH A TXRF"

Transcription

1 Copyright JCPDS - International Centre for Diffraction Data 2005, Advances in X-ray Analysis, Volume MEASUREMENT OF THE SILICON DIOXIDE CONCENTRATION IN HAFNIUM SILICATE GATE DIELECTRICS WITH A TXRF ABSTRACT Chris M. Sparks 1, Patrick Lysaght 2, and Todd Rhoad 1 ATDF, Inc. 1 a wholly owned subsidiary of SEMATECH 2 Austin, Texas As complimentary metal oxide semiconductor (CMOS) devices continue to scale along the rapid pace of Moore s Law, gate dielectric materials with significantly higher dielectric constant (k = 10 25) are being evaluated as replacements for conventional silicon dioxide, SiO 2 (k = 3.9), and silicon oxynitride. This allows for the introduction of a physically thicker film with lower leakage current and with capacitance equivalent to a thinner (1.0 nm and below) SiO 2 layer [1-3]. Although binary metal oxide films such as HfO 2 and ZrO 2 exhibit higher permittivity than their corresponding silicates and aluminates, alloyed with various molecular percents of SiO 2 or Al 2 O 3, respectively, they are compromised by lower onset of crystallization temperature which contributes a higher degree of interfacial microroughness and increased gate leakage current due to dislocations and oxygen vacancies generated along grain boundaries. Accordingly, development of hafnium silicate has been the subject of intense investigation as an advanced gate dielectric thin film designed to meet the device manufacturing requirements of thermal stability in direct contact with substrate silicon and metal gate electrode materials. In this paper, we present results corresponding to the utilization of total reflection X-ray fluorescence spectroscopy (TXRF) as a quick, accurate, non-destructive technique for hafnium silicate composition determination based on detection of the Hf: Si ratio of (HfO 2 ) x (SiO 2 ) 1-x, where x varies over the range INTRODUCTION The effort to introduce a high-k gate dielectric thin film with equivalent electrical silicon oxide thickness (EOT) < 1 nm that meets required performance characteristics including low leakage current and high electron mobility, while demonstrating the capability of continued scaling, has evolved with the realization that the combination of many specific chemical treatments in conjunction with variations in Hf silicate (HfSiO) composition may be necessary. In addition, electrical performance has been further compromised by an unacceptably high density of interface states at the Si / dielectric interface and a high density of electron trap centers in the bulk film, giving rise to explorations of the process parameters during HfSiO deposition in order to optimize the resultant film quality. The frequency of experiments designed to improve the HfSiO film quality and the number of variable process parameters that may influence the resultant silicate composition is appreciable. To this end, it has been deemed essential to establish a means, other than the relatively slow, destructive technique of Rutherford Backscattering (RBS), to identify the HfSiO composition. Since TXRF is extremely sensitive to surface elemental composition, it was investigated as a method of measuring the percent SiO 2 of the HfSiO high-k films under development and as an means of monitoring the statistical process control of the deposition tool for consistent recipe reproduction over time.

2 This document was presented at the Denver X-ray Conference (DXC) on Applications of X-ray Analysis. Sponsored by the International Centre for Diffraction Data (ICDD). This document is provided by ICDD in cooperation with the authors and presenters of the DXC for the express purpose of educating the scientific community. All copyrights for the document are retained by ICDD. Usage is restricted for the purposes of education and scientific research. DXC Website ICDD Website -

3 Copyright JCPDS - International Centre for Diffraction Data 2005, Advances in X-ray Analysis, Volume EXPERIMENTAL The TXRF used in this study was a Technos model 630T with a rotating tungsten anode (W-Lß 1 at 9.67 kev) and a silver tube anode (Ag-Kα at 22.1 kev). The Hf-Lα (7.898 kev) and the Si- Kα (1.74 kev) were the main lines monitored. Each wafer was analyzed at three spots per wafer at angles ranging from for the tungsten anode and for the silver anode. To reduce excessive dead time on the Si(Li) detector at higher incident angles, the tungsten anode was operated at 20 kv and 20 ma and the silver anode was operated at 40 kv and 15 ma. Counting times for all spectra in this paper were 100 seconds although recent experiments suggest this could be reduced to 10 seconds. Hafnium silicate films were deposited by atomic layer deposition (ALD) on 200 mm Si (100) wafers. The films were deposited with a wafer temperature of 330 C and a pressure of 1 Torr Three sets of five film compositions have been characterized; a five wafer set of 15 nm thick films deposited by the ALD tool supplier, and two complimentary five wafer sets of 15 nm and 3 nm thick films deposited in ATDF s fab. RESULTS AND DISCUSSION Figure 1 shows a plot of the ratio of Hf to Si counts taken from the TXRF for the series of 15 nm films deposited at the ALD tool supplier s site. The films ranged from a 0 film (a HfO 2 film) to an 80 film as determined by RBS. The data points plotted are an average of three sites across each wafer for a series of the incident radiation angles below the critical angle for this type of film and for both the tungsten and silver anode source X-rays ( ). The relative standard deviations (RSD s) for each point was < 5%. It was expected that this approach would indicate a linear relationship between Hf and Si in the films, and that the response could 10 9 Hf/Si (cps) 8 7 W anode Ag anode Figure 1. Ratio of Hf to Si counts against the mol. composition for 15 nm films measured below the critical angle

4 Copyright JCPDS - International Centre for Diffraction Data 2005, Advances in X-ray Analysis, Volume be used as a calibration curve for determining the mol. in unknown Hf silicate films. The tungsten anode does show a linear response from 20 to 80 mol. in the films. The loss of linearity below mol. 20% may indicate a limit of this approach. The Si signal component of the Hf to Si ratio of the HfO 2 film is most likely due to excitation of Si from the substrate below the HfO 2 film, an overlap from the Hf-M lines, or a contribution from Si in the Si(Li) detector. Based on the decrease in slope, the silver anode shows a decrease in sensitivity to composition relative to the tungsten anode. This may be due to the higher incident energy radiation either penetrating deeper into the sample exciting the Si substrate or having less efficient excitation of the lines of interest. Under total reflection, the estimated minimum penetration depth of the hafnium-based films is about 2 nm [4]. The results of the 3 nm HfSiO film measurements are shown in Figure 2. The films are too thin for RBS verification of the composition but they were deposited using the same process recipes used to produce the 15 nm thick film set (only the deposition time was adjusted to achieve the desired thickness) and it is expected that the compositions match. The loss of detection response linearity with composition is more pronounced for the Hf rich films and the Si substrate may be influencing the measurements. 7 Hf/Si (cps) 6 5 W anode Ag anode Figure 2. Ratio of Hf to Si counts against the mol. composition for 3 nm films measured below the critical angle Since an angle scan is a function of physical properties of a sample (for example, density) [5], we collected data from the HfSiO films by varying the incident radiation angle of the TXRF. An example of a Ag anode angle scan of the Hf to Si ratio from the 15 nm HfSiO films deposited at the tool supplier s site is shown in Figure 3. We can see that there is a distinct difference in the lines based on the mol. composition of the films. Taking the area under the curve for each of these lines, we get a plot as seen in Figure 4. The best-fit line that is shown has an R 2 correlation value of These points were averages of three measurements across a wafer and three repetitions over the course of two months. This best-fit line can be used as a calibration curve to quantify other films. Taking the area under the Hf to Si ratio angle scans for the 15 nm

5 Copyright JCPDS - International Centre for Diffraction Data 2005, Advances in X-ray Analysis, Volume films deposited in the ATDF s fab and plotting them on the line from Figure 4 gives the results seen in Table 1. The values calculated by plotting TXRF data on the line in Figure 4 agree within a few percent to the values determined by RBS except for the 33 where the TXRF calculated value is a higher 43%. ATDF s analytical characterization labs have also experimented with making this measurement by secondary ion mass spectrometry (SIMS) [6], and have reported on artifacts from analysis of high-k films with sputter-based techniques [7]. The SIMS data is closer in agreement to the target value for the low end of, and similar in variation to the target with the other concentrations calculated by TXRF Hf/Si (cps) 4 3 0% SiO2 20% SiO2 40% SiO2 60% SiO2 80% SiO angle Figure 3. Angle scans of the Hf to Si ratio of 15 nm HfSiO films using the Ag anode Hf/Si area Figure 4. Area under Hf to Si angle scans versus mol. in 15 nm HfSiO films using the Ag anode

6 Copyright JCPDS - International Centre for Diffraction Data 2005, Advances in X-ray Analysis, Volume mol. RBS values mol. TXRF calculated values Table 1. Comparison of calculated mol. concentrations to the RBS values for 15 nm films However, applying this method to measure the 3 nm HfSiO films is not as practical. We see plots similar to Figure 2 where there are poor line fits. Also, the repeatability of the area under the Hf to Si ratio angle scan is worse with RSD s around 20% for the 3 nm films. On the other hand, if we do not consider the ratio of Hf to Si and just plot the area under the angle scan of Hf using the Ag anode against the mol., we get the plot in Figure 5, remembering that the mol. values for the 3 nm HfSiO films are assumed from RBS results of the 15 nm films that were grown under similar conditions. For most points, the reproducibility is about 2% RSD Hf area Figure 5. Area under Hf signal angle scans versus mol. in 3 nm HfSiO films using Ag anode over three points per wafer and four measurements over the span of three months. The best-fit correlation of this line (R 2 ) is From Figure 5, the linear response of the area under the curve to mol. decreases at the highest value of mol. (67%). If we drop the 67% SiO 2 point, that would lead to an R 2 of for a line fit. It would be interesting to have HfSiO films in the range to verify linearity of this method at the low SiO 2 percentage range.

7 Copyright JCPDS - International Centre for Diffraction Data 2005, Advances in X-ray Analysis, Volume CONCLUSIONS TXRF has shown promise in quantifying the amount of SiO 2 in HfSiO films. This may lead to an in-fab and non-destructive metrology procedure. For thicker (15 nm) films a linear TXRF response to mol. can be obtained from either measuring the ratio of Hf to Si below the critical angle or from the area below the curve of the angle scan of the Hf to Si ratio. For most of the concentrations of SiO 2, these results agree with results obtained from RBS and SIMS. We also show a fairly linear TXRF response on the thinner (3 nm) HfSiO films by plotting the area under the Hf signal angle scans against the concentration of SiO 2. REFERENCES [1] D. G. Schlom and J. H. Haeni, MRS Bulletin Vol 27, p. 198, [2] G. D. Wilk, R. M. Wallace, and J. M. Anthony, J. Appl. Phys. 89, p. 5243, [3] A. I. Kingon, J-P. Maria, and S. K. Streiffer, Nature Vol 406, p. 1032, [4] R. Klockenkämper, Total-Reflection X-Ray Fluorescence Analysis, (edited by J. D. Winefordner, John Wiley & Sons, New York) p. 38, [5] Ibid., p 30. [6] J. Bennett, Determination of Si Content in HfSiO Films, 17th Annual SIMS Workshop, Westminster, Colorado, May 17-24, [7] C.F.H. Gondran, J. Bennett, M.R. Beebe Sputter Artifacts in Depth Profile Analysis of HfO 2 and HfSi x O y, AVS 49th International symposium, paper # AS-WeA2, Denver, Colorado, November 3-8, 2002.

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Applications of New, High Intensity X-Ray Optics - Normal and thin film diffraction using a parabolic, multilayer mirror

Applications of New, High Intensity X-Ray Optics - Normal and thin film diffraction using a parabolic, multilayer mirror Applications of New, High Intensity X-Ray Optics - Normal and thin film diffraction using a parabolic, multilayer mirror Stephen B. Robie scintag, Inc. 10040 Bubb Road Cupertino, CA 95014 Abstract Corundum

More information

CALCULATION METHODS OF X-RAY SPECTRA: A COMPARATIVE STUDY

CALCULATION METHODS OF X-RAY SPECTRA: A COMPARATIVE STUDY 243 CALCULATION METHODS OF X-RAY SPECTRA: A COMPARATIVE STUDY B. Chyba, M. Mantler, H. Ebel, R. Svagera Technische Universit Vienna, Austria ABSTRACT The accurate characterization of the spectral distribution

More information

ON-STREAM XRF ANALYSIS OF HEAVY METALS AT PPM CONCENTRATIONS

ON-STREAM XRF ANALYSIS OF HEAVY METALS AT PPM CONCENTRATIONS Copyright JCPDS - International Centre for Diffraction Data 2004, Advances in X-ray Analysis, Volume 47. 130 ABSTRACT ON-STREAM XRF ANALYSIS OF HEAVY METALS AT PPM CONCENTRATIONS G Roach and J Tickner

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Glancing XRD and XRF for the Study of Texture Development in SmCo Based Films Sputtered Onto Silicon Substrates

Glancing XRD and XRF for the Study of Texture Development in SmCo Based Films Sputtered Onto Silicon Substrates 161 162 Glancing XRD and XRF for the Study of Texture Development in SmCo Based Films Sputtered Onto Silicon Substrates F. J. Cadieu*, I. Vander, Y. Rong, and R. W. Zuneska Physics Department Queens College

More information

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD *

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * 201 COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * J. Müller 1, D. Balzar 1,2, R.H. Geiss 1, D.T. Read 1, and R.R. Keller 1 1 Materials Reliability Division, National

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

X-RAY FLUORESCENCE SPECTROSCOPY IN PLASTICS RECYCLING

X-RAY FLUORESCENCE SPECTROSCOPY IN PLASTICS RECYCLING X-RAY FLUORESCENCE SPECTROSCOPY IN PLASTICS RECYCLING Brian L. Riise and Michael B. Biddle MBA Polymers, Inc., Richmond, CA, USA Michael M. Fisher American Plastics Council, Arlington, VA, USA X-Ray Fluorescence

More information

Spectral distribution from end window X-ray tubes

Spectral distribution from end window X-ray tubes Copyright (C) JCPDS-International Centre for Diffraction Data 1999 393 Spectral distribution from end window X-ray tubes N. Broll 1, P. de Chateaubourg 2 1 FORTEX - E.N.S.A.I.S. 24, bld de la Victoire,

More information

Chapter 6 Metal Films and Filters

Chapter 6 Metal Films and Filters Chapter 6 Metal Films and Filters 6.1 Mirrors The first films produced by vacuum deposition as we know it were aluminum films for mirrors made by John Strong in the 1930s; he coated mirrors for astronomical

More information

X-Ray Study of Soft and Hard Magnetic Thin Films

X-Ray Study of Soft and Hard Magnetic Thin Films Copyright (C) JCPDS-International Centre for Diffraction Data 1999 13 X-Ray Study of Soft and Hard Magnetic Thin Films Po-Wen Wang, 390 Reed St., Stormedia, Inc., Santa Clara CA. 95050 Abstract : This

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Simultaneous data fitting in ARXPS

Simultaneous data fitting in ARXPS NOTE Please find the following more updated document Resolving overlapping peaks in ARXPS data: The effect of noise and fitting method. J. Muñoz-Flores, A. Herrera-Gomez, J. Electron Spectrosc. Relat.

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

XRF MAPPING: NEW TOOLS FOR DISTRIBUTION ANALYSIS

XRF MAPPING: NEW TOOLS FOR DISTRIBUTION ANALYSIS Copyright(C)JCPDS-International Centre for Diffraction Data 2000, Advances in X-ray Analysis, Vol.42 19 Copyright(C)JCPDS-International Centre for Diffraction Data 2000, Advances in X-ray Analysis, Vol.42

More information

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Mark Imus Douglas Sisk, Ph.D., Mentor Marian High School RET Program University of Notre Dame Project Proposal Tunneling

More information

Preface Light Microscopy X-ray Diffraction Methods

Preface Light Microscopy X-ray Diffraction Methods Preface xi 1 Light Microscopy 1 1.1 Optical Principles 1 1.1.1 Image Formation 1 1.1.2 Resolution 3 1.1.3 Depth of Field 5 1.1.4 Aberrations 6 1.2 Instrumentation 8 1.2.1 Illumination System 9 1.2.2 Objective

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

The Limitations of Hand-held XRF Analyzers as a Quantitative Tool for Measuring Heavy Metal Pesticides on Art Objects. By Özge Gençay Üstün

The Limitations of Hand-held XRF Analyzers as a Quantitative Tool for Measuring Heavy Metal Pesticides on Art Objects. By Özge Gençay Üstün N.B. A shorter version of this article was published in the ICOM-CC Ethnographic Conservation Newsletter, Number 30, January 2009, pp. 5-8. The Limitations of Hand-held XRF Analyzers as a Quantitative

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors Integrated Ferroelectrics, 53: 503 511, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390258651 Observation of Long Transients in the Electrical Characterization

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

EDXRF of Used Automotive Catalytic Converters

EDXRF of Used Automotive Catalytic Converters EDXRF of Used Automotive Catalytic Converters Energy Dispersive X-Ray Fluorescence (EDXRF) is a very powerful technique for measuring the concentration of elements in a sample. It is fast, nondestructive,

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

X-ray Production. Target Interactions. Principles of Imaging Science I (RAD119) X-ray Production & Emission

X-ray Production. Target Interactions. Principles of Imaging Science I (RAD119) X-ray Production & Emission Principles of Imaging Science I (RAD119) X-ray Production & Emission X-ray Production X-rays are produced inside the x-ray tube when high energy projectile electrons from the filament interact with the

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

EDS system. CRF Oxford Instruments INCA CRF EDAX Genesis EVEX- NanoAnalysis Table top system

EDS system. CRF Oxford Instruments INCA CRF EDAX Genesis EVEX- NanoAnalysis Table top system EDS system Most common X-Ray measurement system in the SEM lab. Major elements (10 wt% or greater) identified in ~10 secs. Minor elements identifiable in ~100 secs. Rapid qualitative and accurate quantitative

More information

A thermal cure is then applied to obtain final properties of the paint film.

A thermal cure is then applied to obtain final properties of the paint film. CHARACTERIZATION OF OXIDE LAYERS FORMED ON ALUMINUM ALLOYS DURING NEW PPG ELECTRODEPOSITED STRUCTURAL PAINT ECODESIGN - GRANT AGREEMENT N 267285 Dr Marion Collinet Ecole Nationale Supérieure de Chimie

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

Fundamentals of modern UV-visible spectroscopy. Presentation Materials

Fundamentals of modern UV-visible spectroscopy. Presentation Materials Fundamentals of modern UV-visible spectroscopy Presentation Materials The Electromagnetic Spectrum E = hν ν = c / λ 1 Electronic Transitions in Formaldehyde 2 Electronic Transitions and Spectra of Atoms

More information

X-ray diffraction techniques for thin films

X-ray diffraction techniques for thin films X-ray diffraction techniques for thin films Rigaku Corporation Application Laboratory Takayuki Konya 1 Today s contents (PM) Introduction X-ray diffraction method Out-of-Plane In-Plane Pole figure Reciprocal

More information

Using the PDF for material identification using elemental data. from XRF and SEM EDS.

Using the PDF for material identification using elemental data. from XRF and SEM EDS. XRF and SEM EDS Using the PDF for material identification using elemental data from XRF and SEM EDS. XRF and SEM EDS What? The Powder Diffraction File contains data on pure solid state compounds of well

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

Electron Microscopy SEM and TEM

Electron Microscopy SEM and TEM Electron Microscopy SEM and TEM Content 1. Introduction: Motivation for electron microscopy 2. Interaction with matter 3. SEM: Scanning Electron Microscopy 3.1 Functional Principle 3.2 Examples 3.3 EDX

More information

Chapter 8. Low energy ion scattering study of Fe 4 N on Cu(100)

Chapter 8. Low energy ion scattering study of Fe 4 N on Cu(100) Low energy ion scattering study of 4 on Cu(1) Chapter 8. Low energy ion scattering study of 4 on Cu(1) 8.1. Introduction For a better understanding of the reconstructed 4 surfaces one would like to know

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics Solid-State Electronics 47 (2003) 49 53 www.elsevier.com/locate/sse Short Communication Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics K.J. Yang a,

More information

X-RAY DIFFRACTION IMAGING AS A TOOL OF MESOSTRUCTURE ANALYSIS

X-RAY DIFFRACTION IMAGING AS A TOOL OF MESOSTRUCTURE ANALYSIS Copyright(c)JCPDS-International Centre for Diffraction Data 2001,Advances in X-ray Analysis,Vol.44 241 X-RAY DIFFRACTION IMAGING AS A TOOL OF MESOSTRUCTURE ANALYSIS ABSTRACT J. Fiala, S. Němeček Škoda

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

IUCLID 5 COMPOSITION AND ANALYSIS GUIDANCE DOCUMENT: IRON ORES, AGGLOMERATES [EINECS NUMBER 265 996 3, CAS NUMBER 65996 65 8] IRON ORE PELLETS

IUCLID 5 COMPOSITION AND ANALYSIS GUIDANCE DOCUMENT: IRON ORES, AGGLOMERATES [EINECS NUMBER 265 996 3, CAS NUMBER 65996 65 8] IRON ORE PELLETS IUCLID 5 COMPOSITION AND ANALYSIS GUIDANCE DOCUMENT: IRON ORES, AGGLOMERATES [EINECS NUMBER 265 996 3, CAS NUMBER 65996 65 8] IRON ORE PELLETS INTRODUCTION Each REACH registrant is required to file its

More information

Lectures about XRF (X-Ray Fluorescence)

Lectures about XRF (X-Ray Fluorescence) 1 / 38 Lectures about XRF (X-Ray Fluorescence) Advanced Physics Laboratory Laurea Magistrale in Fisica year 2013 - Camerino 2 / 38 X-ray Fluorescence XRF is an acronym for X-Ray Fluorescence. The XRF technique

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Spectroscopy. Biogeochemical Methods OCN 633. Rebecca Briggs

Spectroscopy. Biogeochemical Methods OCN 633. Rebecca Briggs Spectroscopy Biogeochemical Methods OCN 633 Rebecca Briggs Definitions of Spectrometry Defined by the method used to prepare the sample 1. Optical spectrometry Elements are converted to gaseous atoms or

More information

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells 11760 Sorrento Valley Road, Suite E San Diego, CA 92121 858.259.1220 / 858.259.0123 fax www.rasirc.com Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells Wet Thermal Oxide Films enable

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Instrumentation. (Figure 2)

Instrumentation. (Figure 2) X-Ray Fluorescence Lab Report Nydia Esparza Victoria Rangel Physics of XRF XRF is a non destructive analytical technique that is used for elemental and chemical analysis. X-Ray Fluorescence Spectroscopy

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Electrical Conductivity Studies on Polyethylene Terephthalate (PET) Thin Films

Electrical Conductivity Studies on Polyethylene Terephthalate (PET) Thin Films ISSN (Online) : 9-875 Electrical Conductivity Studies on Polyethylene Terephthalate (PET) Thin Films R. Sivakumar, S. Nirmala Assistant Professor, Department of Physics, Easwari Engineering College, Ramapuram,

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS The United States generates over 4,110 TWh of electricity each year, costing $400 billion and emitting 2.5 billion metric tons of carbon dioxide (Yildiz,

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

PHYSICAL METHODS, INSTRUMENTS AND MEASUREMENTS Vol. III - Surface Characterization - Marie-Geneviève Barthés-Labrousse

PHYSICAL METHODS, INSTRUMENTS AND MEASUREMENTS Vol. III - Surface Characterization - Marie-Geneviève Barthés-Labrousse SURFACE CHARACTERIZATION Marie-Geneviève Centre d Etudes de Chimie Métallurgique, CNRS, Vitry-sur-Seine, France Keywords: Surface Analysis, Surface imaging, Surface composition, Surface chemical analysis,

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Original Paper Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Satoshi Hashimoto 1, Tsuguo Sakurada 1, and Minoru Suzuki 2 1 JFE-Techno research corporation, 1-1 Minamiwatarida, Kawasaki,

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

X Ray Flourescence (XRF)

X Ray Flourescence (XRF) X Ray Flourescence (XRF) Aspiring Geologist XRF Technique XRF is a rapid, relatively non destructive process that produces chemical analysis of rocks, minerals, sediments, fluids, and soils It s purpose

More information

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS *

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * JOHN LOO Samsung Austin Semiconductor 12100 Samsung Blvd. Austin, Texas 78754 * Presented at the AVS 5 th International

More information

Application Note # EDS-10 Advanced light element and low energy X-ray analysis of a TiB 2 TiC SiC ceramic material using EDS spectrum imaging

Application Note # EDS-10 Advanced light element and low energy X-ray analysis of a TiB 2 TiC SiC ceramic material using EDS spectrum imaging Quantitative analysis Ceramics sample Peak deconvolution EDS map Phase analysis Application Note # EDS-10 Advanced light element and low energy X-ray analysis of a TiB 2 TiC SiC ceramic material using

More information

Computer simulation of coating processes with monochromatic monitoring

Computer simulation of coating processes with monochromatic monitoring Computer simulation of coating processes with monochromatic monitoring A. Zöller, M. Boos, H. Hagedorn, B. Romanov Leybold Optics GmbH, Siemensstrasse 88, 655 Alzenau, Germany ABSTRACT For the production

More information

Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student

Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student 1 Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student Abstract-- As the film decreases in thickness the requirements of more

More information

Surface characterization of oxygen deficient SrTiO 3

Surface characterization of oxygen deficient SrTiO 3 U. Scotti di Uccio Surface characterization of oxygen deficient SrTiO 3 films and single crystals Coherentia-CNR-INFM Napoli, Italy Prof. R. Vaglio R. Di Capua, G. De Luca, M. Radovic, N. Lampis, P. Perna,

More information

Optical Properties of Thin Film Molecular Mixtures

Optical Properties of Thin Film Molecular Mixtures Optical Properties of Thin Film Molecular Mixtures Donald A. Jaworske NASA Glenn Research Center 2 Brookpark Road Cleveland, OH 4435 e-maih Donald. A.J aworske((_grc.nasa.gov Dean A. Shumway Brigham Young

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I

The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I 5 The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I Mg Kα excited photoemission. Abstract The oxidation of Cu(100)-Fe surfaces was studied using XPS. Surfaces

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Quantitative Analysis Software for X-Ray Fluorescence. XRF-FP is a full-featured quantitative analysis package for XRF

Quantitative Analysis Software for X-Ray Fluorescence. XRF-FP is a full-featured quantitative analysis package for XRF Quantitative Analysis Software for X-Ray Fluorescence XRF-FP XRF-FP is a full-featured quantitative analysis package for XRF APPLICATIONS X-Ray Fluorescence Thin-film Analysis RoHS/WEEE Analysis Teaching

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

Spectrophotometry and the Beer-Lambert Law: An Important Analytical Technique in Chemistry

Spectrophotometry and the Beer-Lambert Law: An Important Analytical Technique in Chemistry Spectrophotometry and the Beer-Lambert Law: An Important Analytical Technique in Chemistry Jon H. Hardesty, PhD and Bassam Attili, PhD Collin College Department of Chemistry Introduction: In the last lab

More information

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Applied Surface Science 186 2002) 453±457 Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Lianne M. Doeswijk a,*, Hugo H.C. de Moor b, Horst Rogalla a, Dave

More information

DIEGO TONINI MORPHOLOGY OF NIOBIUM FILMS SPUTTERED AT DIFFERENT TARGET SUBSTRATE ANGLE

DIEGO TONINI MORPHOLOGY OF NIOBIUM FILMS SPUTTERED AT DIFFERENT TARGET SUBSTRATE ANGLE UNIVERSITÀ DEGLI STUDI DI PADOVA SCIENCE FACULTY MATERIAL SCIENCE DEGREE INFN LABORATORI NAZIONALI DI LEGNARO DIEGO TONINI MORPHOLOGY OF NIOBIUM FILMS SPUTTERED AT DIFFERENT TARGET SUBSTRATE ANGLE 2 QUESTIONS

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Electrical tests on PCB insulation materials and investigation of influence of solder fillets geometry on partial discharge

Electrical tests on PCB insulation materials and investigation of influence of solder fillets geometry on partial discharge , Firenze, Italy Electrical tests on PCB insulation materials and investigation of influence of solder fillets geometry on partial discharge A. Bulletti, L. Capineri B. Dunn ESTEC Material and Process

More information

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control J.N. Kidder, Jr., Yiheng Xu. Nayanee Gupta, Theodosia Gougousi, Laurent Henn-Lecordier, G.W. Rubloff University of

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

The study of structural and optical properties of TiO 2 :Tb thin films

The study of structural and optical properties of TiO 2 :Tb thin films Optica Applicata, Vol. XXXVII, No. 4, 2007 The study of structural and optical properties of TiO 2 :Tb thin films AGNIESZKA BORKOWSKA, JAROSLAW DOMARADZKI, DANUTA KACZMAREK, DAMIAN WOJCIESZAK Faculty of

More information

Amptek Application Note XRF-1: XRF Spectra and Spectra Analysis Software By R.Redus, Chief Scientist, Amptek Inc, 2008.

Amptek Application Note XRF-1: XRF Spectra and Spectra Analysis Software By R.Redus, Chief Scientist, Amptek Inc, 2008. Amptek Application Note XRF-1: XRF Spectra and Spectra Analysis Software By R.Redus, Chief Scientist, Amptek Inc, 2008. X-Ray Fluorescence (XRF) is a very simple analytical technique: X-rays excite atoms

More information

Dew-Point Measurement Solutions

Dew-Point Measurement Solutions Dew-Point Measurement Solutions www.michell.com Dew-Point Measurement Solutions Special Features No downtime Michell Instruments offers a unique sensor exchange programme, which means that you will never

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes Applications Laboratory Report 86 Evaluating Surface Roughness of Si Following Selected Processes Purpose polishing of samples is a common application and required for a variety of manufacturing and research

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information