Introduction to Microeletromechanical Systems (MEMS) Lecture 3 Topics

Size: px
Start display at page:

Download "Introduction to Microeletromechanical Systems (MEMS) Lecture 3 Topics"

Transcription

1 Introduction to Microeletromechanical Systems (MEMS) Lecture 3 Topics MEMS Fabrication Techniques Review of the Si Crystal Lattice Review of Wet Etching Dry Etching Plasma Etching Reactive Ion Etching Additive Processes Sacrificial Processes MEMS Fabrication Techniques Dry Etching Vapor Phase Plasma RIE Additive Processes CVD Sputtering Electroplating Sacrificial Layers Lift-off Wet Release Issues

2 Review Of The Si Crystal Lattice Unit Cell: most basic structural element in a crystal, repeated regularly over all three dimensions IV group elements: Diamond Lattice (Figures: Campbell, 1996.) Review Of The Si Crystal Lattice Figures: etti@nmsu.edu (1996) Notation: (100) particular crystal plane {100} all equivalent planes: (100), (010), (001) in cubic lattice [100] direction normal to crystal plane Wafer characterization: in 100 wafer, 100 plane is parallel to the wafer surface Several useful Internet WEB sites for visualization of Si crystals ostc.physics.uiowa.edu/~wkchan/solidstate/crystal et.nmsu.edu/etclasses/vlsi/files/crystal.htm stm2.nrl.navy.mil/~lwhitman/projects.html#sisum Location of atoms in various planes of the diamond lattice.

3 Review Of Wet Etching Review Of Wet Etching Anisotropic Wet Etching: Convex corners are undercut Concave corners stop at [111] intersections Figures: G. Kovacs, 1996.

4 Overview Vapor Phase Etch: Use of reactive gases No drying necessary Dry Etching Plasma Etch: RF energy generates reactive ions and free radicals No high temperatures required (250 C down to room temperature) Reactive Ion Enhanced (RIE) Etch: Higher energy ions Higher anisotropy Vapor Phase Etch XeF 2 Isotropic Silicon Etch Simple setup Does not attack: - Silicon oxide - Silicon nitride - Metals - Photoresist Basic reaction: 2XeF 2 + Si 2Xe +SiF 4 Caveat: 2XeF 2 +2H 2 O Xe 2 +4HF+2O 2 exothermic! Hoffman et al., 1995 (UCLA)

5 XeF 2 Isotropic Silicon Etch Post processing for standard CMOS Suspended and 3D structures Fold-up structures with conducting Al hinges Tahhan et al., SPIE 1999 (UC Berkeley) Storment et al., JMEMS 1994 (Stanford) Plasma Etch RF energy drives etching reaction: accelerates stray electrons between pair of plates in low pressure gas Electrons generate reactive ions and free radicals (e.g., monoatomic fluorine) Substrate surface is bombarded with reactive ions (physical and chemical etching) Si or other materials are etched by forming volatile components

6 Plasma Etch RIE allows higher ion energies: higher etch rates, higher anisotropy Reactive Ion Etch Often, multiple etching and deposition reactions take place simultaneously and reach equilibrium Example: High concentration SF 6 etches Si Low concentration O 2 removes resputtered photoresist but also forms SiO 2 and polymeric films CHF 3 removes oxide and polymers Selection of etch parameters (concentration, pressure, RF power, bias, ) gives (limited) control over anisotropy, selectivity, etch rate, surface roughness

7 SCREAM (Single Crystal Reactive Etching And Metallization) Multiple anisotropic and isotropic dry etches Low temperature etching and deposition Reactive Ion Etch Zhang et al., 1993 (Cornell) Reactive Ion Etch Figure: G. Kovacs, RIE postprocessing of CMOS to release thin film structures (Fedder et al. 1996)

8 Deep RIE Bosch Process (Patent: Lärmer & Schilp, 1994) Idea: alternate between etching and thin film deposition that protects sidewalls but is removed in trenches Etching phase: SF 6 / Ar Polymerization phase: CHF 3 (or C 4 F 8 /SF 6 ) / Ar forms Teflon-like polymer layer Ion bombardment can prevent formation of polymer on horizontal surfaces Several DRIE systems are on the market (after only 5 years!): STS, Plasma Therm, Oxford Instruments, Trion Deep RIE Examples 20µm STS 1999 Klaassen et al., 1995 (Stanford) Ayon et al., 1998 (MIT)

9 Additive Processes Formation of films on surface of substrate ( surface micromachining ) Structural layers Sacrificial layers (spacers to be removed later) Wide Variety Of Techniques: Oxidation of Si CVD, PECVD Evaporation Sputtering Epitaxial growth Molding Chemical Vapor Deposition CVD uses thermal energy to drive reactions that deposit thin films on substrate surface PECVD (Plasma Enhanced CVD) substitutes thermal energy (partially) by RF energy: greater control over stresses and other film properties Note analogy to Plasma Etching, RIE etching Commonly deposited thin films with PECVD: SiO 2, Si 3 N 4, SiC, poly-si

10 Epitaxial Growth SCS grows selectively on exposed Si surfaces 2H 2 + SiCl 4 Si + 4HCl (hydrogen reduction) SiH 4 Si + 2H 2 (pyrolysis) Electroplating Plating processes use the reduction of metal ions in solution to form solid metal Many metals and alloys (Au, Ag, Cu, Hg, Ni, Pt, Permalloy [NiFe], ) Electroplating uses electrical current to drive the reduction Electroless plating uses reducing agents to drive metal deposition Pulsing the electroplating current allows to replenish reactants (stress control, control over morphology, etc., possible) Under diffusion-limited conditions, amorphous metal layers can be plated (very high surface areas, e.g., platinum black )

11 Electroplating Fastest growing crystal planes disappear Figure: G. Kovacs, 1996, after Bockris, Reddy, Note analogy to anisotropic etching Evaporation And Sputtering Evaporation of metals by Heating (thermal evaporation) Bombardment with electron beam (e-beam evaporation) Sputtering: bombardment of target with inert ions (Ar + ) Metals Si Compounds Dielectrics Better stress control

12 Sputtering vs. Evaporation Geometry of evaporation and sputtering chambers (as well as electromagnetic fields) determine directionality of deposition: Good or bad step coverage (can be advantage or disadvantage) Shadowing Directionality of evaporation can be exploited to form features smaller than the lithographic resolution

13 Sub-Resolution Feature Sizes How can we build structures that are smaller than the resolution of our lithography equipment? Sacrificial Layers Frequent goal in MEMS: released, movable structures Concept: use spacer layers as temporary support between structural materials Commonly used sacrificial layers: SiO 2 (etched with HF) Photoresist (etched with acetone, O 2 plasma) Others Example: SiO 2 in multi-layer polysilicon structures

14 Sealed Cavity Formation More complex example for sacrificial layers: Form cavity with SiO 2 layer Removal of sacrificial layer Reactive sealing Sacrificial Layer In Electroplating Note: requires sufficient step coverage, otherwise

15 Lift-Off Process Removal of deposited thin film (usually metal) without etching: resist Substrate resist Lift-Off Substrate Positive resist: Negative resist: Substrate Substrate Wet Release Issues Attractive Forces Between Surfaces: Electrostatic forces Surface tension Hydrophilic surfaces: hydrogen bonds (attraction between a hydrogen atom of one molecule and a pair of unshared electrons of another molecule) Hydrophobic surfaces: van der Waals forces (attractive and repulsive electrostatic dipole-dipole interactions between molecules)

16 Critical Point Drying Adhesion forces during wet release can be a major problem. Possible solutions: Geometric surface modification (dimples) Chemical surface modification Sublimation methods Critical point drying: CO 2 : 25 C at 1200psi (liquid) 35 C at 1200psi (supercritical) gas is then removed Figure: G. Kovacs, 1996, after Mulhern et al., 1993.

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit.

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit. ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Plasma Etching Dr. Lynn Fuller http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching 3.0 Etching and Chemo-Mechanical Polishing 3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching 3.2 Chemo-Mechanical Polishing

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Dry Etch Process Application Note

Dry Etch Process Application Note G-106-0405 pplication ulletin Dry Etch Process pplication Note nthony Ricci Etch Process Overview The etching process removes selected areas from wafer substrates. The two types of etching processes used

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided.

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided. CHAPTER 10 REVIEW States of Matter SECTION 1 SHORT ANSWER Answer the following questions in the space provided. 1. Identify whether the descriptions below describe an ideal gas or a real gas. ideal gas

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Chapter 10 Liquids & Solids

Chapter 10 Liquids & Solids 1 Chapter 10 Liquids & Solids * 10.1 Polar Covalent Bonds & Dipole Moments - van der Waals constant for water (a = 5.28 L 2 atm/mol 2 ) vs O 2 (a = 1.36 L 2 atm/mol 2 ) -- water is polar (draw diagram)

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Surface activation of plastics by plasma for adhesion promotion

Surface activation of plastics by plasma for adhesion promotion Surface activation of plastics by plasma for adhesion promotion Uwe Stöhr, Ph. D. 1 Introduction In many fields a good adhesion between two materials is necessary. The adhesion should exist at the whole

More information

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1. 6.15JST05.Lecture1-1 1 Etching and Pattern Transer (1) OUTLINE Basic Concepts o Etching Wet Etching Speciic Wet Etches Silicon Silicon Dioxide Aluminum Dry (Plasma) Etch eview o Plasmas eading Assignment:

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Chapter 12 - Liquids and Solids

Chapter 12 - Liquids and Solids Chapter 12 - Liquids and Solids 12-1 Liquids I. Properties of Liquids and the Kinetic Molecular Theory A. Fluids 1. Substances that can flow and therefore take the shape of their container B. Relative

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Chapter 13 - LIQUIDS AND SOLIDS

Chapter 13 - LIQUIDS AND SOLIDS Chapter 13 - LIQUIDS AND SOLIDS Problems to try at end of chapter: Answers in Appendix I: 1,3,5,7b,9b,15,17,23,25,29,31,33,45,49,51,53,61 13.1 Properties of Liquids 1. Liquids take the shape of their container,

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

MEMS Overview. What is MEMS?

MEMS Overview. What is MEMS? MEMS Overview SPEAKER Andrew Mason, Asst. Professor in Electrical and Computer Engineering TOPIC Overview of Micro-Electro-Mechanical Systems (MEMS) OUTLINE Overview of MEMS & Microsystems Navid Yazdi

More information

CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING

CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING Essential Standard: STUDENTS WILL UNDERSTAND THAT THE PROPERTIES OF MATTER AND THEIR INTERACTIONS ARE A CONSEQUENCE OF THE STRUCTURE OF MATTER,

More information

Intermolecular Forces

Intermolecular Forces Intermolecular Forces: Introduction Intermolecular Forces Forces between separate molecules and dissolved ions (not bonds) Van der Waals Forces 15% as strong as covalent or ionic bonds Chapter 11 Intermolecular

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Chem 112 Intermolecular Forces Chang From the book (10, 12, 14, 16, 18, 20,84,92,94,102,104, 108, 112, 114, 118 and 134)

Chem 112 Intermolecular Forces Chang From the book (10, 12, 14, 16, 18, 20,84,92,94,102,104, 108, 112, 114, 118 and 134) Chem 112 Intermolecular Forces Chang From the book (10, 12, 14, 16, 18, 20,84,92,94,102,104, 108, 112, 114, 118 and 134) 1. Helium atoms do not combine to form He 2 molecules, What is the strongest attractive

More information

Chapter 10 CVD and Dielectric Thin Film

Chapter 10 CVD and Dielectric Thin Film Chapter 10 CVD and Dielectric Thin Film 2006/5/23 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

2015-2016 Facility Rates & Expense Caps

2015-2016 Facility Rates & Expense Caps NANOFAB FEES / SERVICES Entry Fee $20.00/Day $32.10/Day Nanofab Training Fee $25.00/Hour $40.13/Hour Nanofab Process Development/Labor $50.00/Hour $80.25/Hour Model Shop $25.00/Month $40.13/Month Wafer

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

Exam 4 Practice Problems false false

Exam 4 Practice Problems false false Exam 4 Practice Problems 1 1. Which of the following statements is false? a. Condensed states have much higher densities than gases. b. Molecules are very far apart in gases and closer together in liquids

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor U. Hofmann, Fraunhofer ISIT Itzehoe M. Aikio, VTT Finland Abstract Low cost laser scanners for environment

More information

Name Class Date. In the space provided, write the letter of the term or phrase that best completes each statement or best answers each question.

Name Class Date. In the space provided, write the letter of the term or phrase that best completes each statement or best answers each question. Assessment Chapter Test A Chapter: States of Matter In the space provided, write the letter of the term or phrase that best completes each statement or best answers each question. 1. The kinetic-molecular

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Adsorption at Surfaces

Adsorption at Surfaces Adsorption at Surfaces Adsorption is the accumulation of particles (adsorbate) at a surface (adsorbent or substrate). The reverse process is called desorption. fractional surface coverage: θ = Number of

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Explain the ionic bonds, covalent bonds and metallic bonds and give one example for each type of bonds.

Explain the ionic bonds, covalent bonds and metallic bonds and give one example for each type of bonds. Problem 1 Explain the ionic bonds, covalent bonds and metallic bonds and give one example for each type of bonds. Ionic Bonds Two neutral atoms close to each can undergo an ionization process in order

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Lecture 9. Surface Treatment, Coating, Cleaning

Lecture 9. Surface Treatment, Coating, Cleaning 1 Lecture 9. Surface Treatment, Coating, Cleaning These processes are sometimes referred to as post-processing. They play a very important role in the appearance, function and life of the product. Broadly,

More information

Deposition of Thin Metal Films " (on Polymer Substrates)!

Deposition of Thin Metal Films  (on Polymer Substrates)! Deposition of Thin Metal Films " (on Polymer Substrates)! Shefford P. Baker! Cornell University! Department of Materials Science and Engineering! Ithaca, New York, 14853! MS&E 5420 Flexible Electronics,

More information

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS Case Study : Digital Micromirror Devices (DMD) Chapter of Senturia A MEMS-based projection display, Van Kessel, P.F.; Hornbeck, L.J.; Meier, R.E.; Douglass, M.R., Proc. IEEE, Vol. 86 pp.1687-174 1998 http://www.dlp.com/

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

In the box below, draw the Lewis electron-dot structure for the compound formed from magnesium and oxygen. [Include any charges or partial charges.

In the box below, draw the Lewis electron-dot structure for the compound formed from magnesium and oxygen. [Include any charges or partial charges. Name: 1) Which molecule is nonpolar and has a symmetrical shape? A) NH3 B) H2O C) HCl D) CH4 7222-1 - Page 1 2) When ammonium chloride crystals are dissolved in water, the temperature of the water decreases.

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

CHAPTER 10: INTERMOLECULAR FORCES: THE UNIQUENESS OF WATER Problems: 10.2, 10.6,10.15-10.33, 10.35-10.40, 10.56-10.60, 10.101-10.

CHAPTER 10: INTERMOLECULAR FORCES: THE UNIQUENESS OF WATER Problems: 10.2, 10.6,10.15-10.33, 10.35-10.40, 10.56-10.60, 10.101-10. CHAPTER 10: INTERMOLECULAR FORCES: THE UNIQUENESS OF WATER Problems: 10.2, 10.6,10.15-10.33, 10.35-10.40, 10.56-10.60, 10.101-10.102 10.1 INTERACTIONS BETWEEN IONS Ion-ion Interactions and Lattice Energy

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Tecnologie convenzionali nell approccio top-down; I: metodi e problematiche per la deposizione di film sottili

Tecnologie convenzionali nell approccio top-down; I: metodi e problematiche per la deposizione di film sottili LS Scienza dei Materiali - a.a. 2005/06 Fisica delle Nanotecnologie part 8 Version 4, Dec 2005 Francesco Fuso, tel 0502214305, 0502214293 - fuso@df.unipi.it http://www.df.unipi.it/~fuso/dida Tecnologie

More information

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology Introduction to Thin Film Introduction to Thin Film Verfahrenstechnik der Oberflächenmodifikationen Prof. Dr. Xin Jiang Lecture Institut für Werkstofftechnik der Uni-Siegen Sommersemester 2007 Introduction

More information

POLAR COVALENT BONDS Ionic compounds form repeating. Covalent compounds form distinct. Consider adding to NaCl(s) vs. H 2 O(s):

POLAR COVALENT BONDS Ionic compounds form repeating. Covalent compounds form distinct. Consider adding to NaCl(s) vs. H 2 O(s): POLAR COVALENT BONDS Ionic compounds form repeating. Covalent compounds form distinct. Consider adding to NaCl(s) vs. H 2 O(s): Sometimes when atoms of two different elements form a bond by sharing an

More information

Modern Construction Materials Prof. Ravindra Gettu Department of Civil Engineering Indian Institute of Technology, Madras

Modern Construction Materials Prof. Ravindra Gettu Department of Civil Engineering Indian Institute of Technology, Madras Modern Construction Materials Prof. Ravindra Gettu Department of Civil Engineering Indian Institute of Technology, Madras Module - 2 Lecture - 2 Part 2 of 2 Review of Atomic Bonding II We will continue

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

4. Using the data from Handout 5, what is the standard enthalpy of formation of BaO (s)? What does this mean?

4. Using the data from Handout 5, what is the standard enthalpy of formation of BaO (s)? What does this mean? HOMEWORK 3A 1. In each of the following pairs, tell which has the higher entropy. (a) One mole of liquid water or one mole of water vapor (b) One mole of dry ice or one mole of carbon dioxide at 1 atm

More information

B) atomic number C) both the solid and the liquid phase D) Au C) Sn, Si, C A) metal C) O, S, Se C) In D) tin D) methane D) bismuth B) Group 2 metal

B) atomic number C) both the solid and the liquid phase D) Au C) Sn, Si, C A) metal C) O, S, Se C) In D) tin D) methane D) bismuth B) Group 2 metal 1. The elements on the Periodic Table are arranged in order of increasing A) atomic mass B) atomic number C) molar mass D) oxidation number 2. Which list of elements consists of a metal, a metalloid, and

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-527: MicroFabrication Wet Etching Outline Isotropic Si etching Anisotropic Si etching Anisotropic GaAs etching Isotropic etching of SiO 2, Al, and Cr General features of wet chemical etching Selective

More information

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A.

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. LUMINOUS CHEMICAL VAPOR DEPOSITION and INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. MARCEL MARCEL DEKKER. NEW YORK DEKKER Contents Preface iii Part I.

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

THIN FILM MATERIALS TECHNOLOGY

THIN FILM MATERIALS TECHNOLOGY THIN FILM MATERIALS TECHNOLOGY Sputtering of Compound Materials by Kiyotaka Wasa Yokohama City University Yokohama, Japan Makoto Kitabatake Matsushita Electric Industrial Co., Ltd. Kyoto, Japan Hideaki

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication Ferroelectrics, 380:1, 97-101, 2009 Reprints available directly from the publisher DOI: 10.1080/00150190902873295 UR L: http://dx.doi.org/10.1080/00150190902873295 2009 Taylor & Francis ISSN: 0015-0193

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

Questions on Chapter 8 Basic Concepts of Chemical Bonding

Questions on Chapter 8 Basic Concepts of Chemical Bonding Questions on Chapter 8 Basic Concepts of Chemical Bonding Circle the Correct Answer: 1) Which ion below has a noble gas electron configuration? A) Li 2+ B) Be 2+ C) B2+ D) C2+ E) N 2-2) Of the ions below,

More information

Chapter 4: Structure and Properties of Ionic and Covalent Compounds

Chapter 4: Structure and Properties of Ionic and Covalent Compounds Chapter 4: Structure and Properties of Ionic and Covalent Compounds 4.1 Chemical Bonding o Chemical Bond - the force of attraction between any two atoms in a compound. o Interactions involving valence

More information

Type of Chemical Bonds

Type of Chemical Bonds Type of Chemical Bonds Covalent bond Polar Covalent bond Ionic bond Hydrogen bond Metallic bond Van der Waals bonds. Covalent Bonds Covalent bond: bond in which one or more pairs of electrons are shared

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

CHEM 120 Online Chapter 7

CHEM 120 Online Chapter 7 CHEM 120 Online Chapter 7 Date: 1. Which of the following statements is not a part of kinetic molecular theory? A) Matter is composed of particles that are in constant motion. B) Particle velocity increases

More information