Mixed-Signal System-on-a-Chip (SoC) Verification Based on SystemVerilog Model

Size: px
Start display at page:

Download "Mixed-Signal System-on-a-Chip (SoC) Verification Based on SystemVerilog Model"

Transcription

1 45th Southeastern Symposium on System Theory Baylor University, Waco, TX, USA, March 11, 2013 Mixed-Signal System-on-a-Chip (SoC) Verification Based on SystemVerilog Model Xiaokun Yang¹, Xinwei Niu¹, Jeffrey Fan¹, Chiu Choi² ¹Department of Electrical and Computer Engineering, Florida International University, FL, USA ²Department of Electrical Engineering, University of North Florida, FL, USA Abstract Simulation speed and a lack of test approaches are the main difficulties in the mixed-signal verification of a complex System-on-a-Chip (SoC). In this paper, an equivalent high-level Radio Frequency (RF) model is created by the SystemVerilog language and integrated into a mixed-signal SoC. Such a model can be executed on a digital simulator, which is dramatically faster than the traditional method using an analog solver. Some mixed-signal verification approaches based on digital methods (including constrained random data generation, assertion-based verification, coverage-driven verification, and Verification Methodology Manual) are also presented as well as a case on the integrated SoC. Keywords Mixed-Signal Verification; SoC; SystemVerilog I. INTRODUCTION With the ability to transfer multiple high-definition (HD) video streams wirelessly, a typical High-definition television (HDTV) System-on-a-Chip (SoC) today is inherently analog in dealing with Radio Frequency (RF) signals. To set up a verification environment of such a complex mixed-signal system is a big challenge for verification engineers. It not only needs to simulate in a reasonable amount of time, but also maintain an acceptable level of accuracy. To date, the industry has offered several co-simulation tools, e.g. SPICE, AMS [1, 2]. Since simulations run at the transistor level, these tools are often very time-consuming compared to digital register transfer level (RTL) simulation. Aiming at alleviating low-speed problems, two analog and mixed-signal languages, Verilog-AMS and VHDL-AMS, are proposed. They are partial solutions to the problem of simulation speed, but very weak on verification methods (e.g. constrained-random tests, coverage, assertions, verification methodologies) in order to reach acceptable standards. Currently, some EDA companies, e.g. Cadence and Synopsys, provide several analog Intellectual Properties (IPs) which are designed by wreal and real data. However, such mixed-signal IPs impose additional amount of work and constraints on how these IPs should be seamlessly integrated and verified at SoC level [3-6]. As mentioned above, traditional mixed-signal verification technologies are done at the lower level of abstraction. This ensures accuracy and functional correctness, with the idea of higher level language to describe the functional model just emerging. At the SoC level, a test plan is focused on connectivity and functional integration, where simulation speed is really critical. Thus, one of the contributions we have been trying to make is to achieve an optimum trade-off between performance and accuracy at the system level verification, that is, model analog activities to become more like digital, and reuse digital verification environment, technologies and methodologies. Using floating-point real data to describe analog activities, this research brings a high-level abstraction of the analog model by SystemVerilog verification language (IEEE 1800) [7, 8]. As an extension of Verilog, SystemVerilog is easier to adopt a modular approach for integrating analog models into an existing pure digital environment. In addition, being an integrated part of the simulation engine, it also eliminates the need for external verification tools and interfaces, and thus ensures optimal performance. In this paper, we demonstrate the integration of a mixedsignal SoC involving both digital and analog components, reasonable and verifiable. Key contributions for the implementation include: Model-based design of analog activities by using SystemVerilog verification language. Employing constrained random data generation during the analog simulation process. Employing code coverage analysis in the mixedsignal verification environment. Utilizing functional coverage analysis in the SoC verification environment. Adding assertions in the SoC verification environment. Completing the multi-level verification structure with reference of VMM verification methodology. The remainder of this paper is organized as follows. Section 2 is an overview of mixed-signal SoC architecture. The software programming is described in section 3. Following the functional registers map of the SoC, Section 4 proposes the mixed-signal SoC verification approaches. Section 5 covers experiment results and simulation waveforms and the conclusion in Section /$ IEEE 17

2 II. SYSTEM ARCHITECTURE This research is based on a HDTV mixed-signal SoC including both digital and analog blocks. Figure 1 shows the overall structure of this circuit. Master bus (MBUS), which is the system bus, is used to configure functional registers from CPU model to devices. Slave bus (SBUS), which is the data bus, is used to transport data from hosts to the DDR. With the capability to transport HD images, two interfaces are available in this SoC. One is USB2.0 interface, which consists of CONTROL and BULK transfer function; the other is Wi-Fi module, which supports n IEEE wireless communication standard and also backwards compatible with a/b/g specifications. A Wireless Fidelity (Wi-Fi) interface is generally divided into two parts. The first contains Media access controller (MAC) and BBP, which are digital modules using Verilog HDL design. The second contains RF components [9], which are analog models using SystemVerilog design. The amplifier gains of RF models are controlled by the Inter- Integrated (I2C) Circuit through the configuration of functional registers. In our research, MAC, Image Processing, DMA and DDR are considered to be golden models or black boxes. Some of the topics covered in the paper include, but are not limited to, the following: Check the function of amplifying or reducing the analog signal magnitude according to the functional registers configured by I2C-BUS controller. Check real data interaction between BBP digital modules and RF analog models. Verify mixed-signal chip by digital verification technologies. Amplifier (LNA), Variable Gain Amplifier (VGA) and Power amplifier (PA), which are shown in the Figure 2. Fig. 2. RF structure For the receiving process, LNA is at the beginning of the signal chain, which minimizes the noise contribution in the following VGA. The LNA gain ranges from -3dB to 10dB or 35dB of this system, which is set through the I 2 C-BUS interface. VGA provides precise input attenuation and interpolation, a linear-in-db gain-only deviating ±0.5dB. For the transmitting process, PA is another output gain, which is configurable through I 2 C-BUS. All of these analog modules have different approaches to algorithm optimization, in which abstract equation models represent the circuits. III. SOFTERWARE PROGRAMMING The RF configuration process is a control function, which does not require a high-speed data transfer. We then can apply a serial bus structure, I 2 C-BUS, to adjust amplifier gains. Although serial bus does not have the throughput capability of parallel bus, it does require less wiring and fewer connecting pins. Two wires, serial data (SDA) and serial clock (SCL), carry information between RF configuration model and I 2 C-BUS controller. Figure 3 represents four commands combined with SDA and SCL wires. Fig. 1. System architecture The analog models contain Analog-to-Digital Converter (AD), Digital-to-Analog Converter (DA), Low Noise Fig. 3. I 2 C-BUS commands 18

3 As a master of this bus structure, I 2 C-BUS controller allows the users to configure the amplifier gains for specific functions or operations through a structured register space provided inside the chip with translating commands from MBUS to bit stream data on SCL and SDA serial ports. Different from digital module configuration approach, RF functional registers are accessed via the I 2 C-BUS serial port and can be written to or read via this port. Each of devices connected to the central bus, MBUS, can be handled by a specific register in the register map. This is basically how software interacts with hardware devices. The meaning of the register field represents a specific functional operation of the RF model. IV. SYSTEM LEVEL VERIFICATION Leveraging pre-existing digital verification technologies and verification environment on mixed-signal SoC is this research s motivation. It will effectively mitigate the cost of mixed-signal SoC verification procedure. A. Verification environment We set up the verification environment with the objectoriented programming (OOP) concept and multi-level hierarchical scheduling by SystemVerilog language, with reference to the VMM verification methodology. The test bench structure is shown in Figure 4. communicates with the functional layer using channels. Function-level layer gets input transactions from input channels, manipulates those transactions in a user-defined manner according to wireless specification and delivers one or more streams of transactions to outputs. Physical medium dependent (PMD) HT-mixed format physical layer convergence procedure (PLCP) protocol data unit (PPDU) is shown in Figure 5. Test-level layer generates a stream of constrained random transactions and delivers them to a transactor. Fig n PMD layer data frame (HT-mixed PPDU) B. Constrained random value generation Constrained random testing is more effective than directed testing approach. This co-simulation test bench uses constraint-driven test on top of an object-oriented data abstraction that models the data both on integral and real types to be randomized as objects that contain random variables and user-defined constraints. It s also an easier approach to find hard-to-reach corner cases in analog modules. Figure 6 illustrates the constrained random analog data input process. Fig. 4. System level verification environment For the signal-level layer, we add the analog models described in Figure 2 to digital RTL modules, which is designed for testing (DUT) in this test bench. All the analog models are dynamically controlled from digital side, so this test bench keeps the running speed as a pure digital simulation environment. This layer interacts with the mixedsignal modules by manipulating signals through interfaces with integer or real data type. Command-level layer connects the transaction data objects and activities on ports of the mixed-signal module, which is made up of DUT and analog models. It Fig. 6. Constrained random analog data generation C. Code and functional coverage For system level verification, coverage is used as a metric for evaluating the progress of a verification project in order to control the number of regression times. Particularly code coverage is used to tie the verification environment to the design intended or functionality. Functional coverage is a user-defined metric concerning test plans. It is used to 19

4 describe corner cases and functional points. This test bench includes both code coverage and functional coverage. An example of a code coverage report is shown in Figure 11 and we give an example of the functional coverage model as follows. Cover point expressions can be described by real or integral data with SystemVerilog. The example shown in Figure 7 illustrates two descriptions of integral valued cover points. The first is transmission data length of n data frame, which ranges from 0 Bytes to Bytes; the second is transmission rate, which ranges from MCS0 to MCS7 for n specification. A covergroup can contain one or more coverage points. The cover point expression takes places when the covergroup is sampled. Initializes DMA, DDR Controller, MAC and BBP modules through system bus MBUS; Initializes RF models by I2C-BUS controller. The graphic module reads image data from DDR, processes it and writes back to DDR using SBUS. Converts 10 bits digital iq data into real number and transmits over RF. Receiver acquires analog signal, which is adjusted according to the parameter, which is a measure by the PHY of the energy observed at the antenna used to receive the current PPDU, through a directional antenna. The receiving process includes: Configures DMA, DDR Controller, MAC and BBP modules through system bus MBUS; Configures RF models by I2C-BUS controller. RF receives analog signal from spatial channel. After decoding signal into 10 bits digital data, BBP transmit data to DDR using SBUS. Graphic module processes image data. Fig. 7. Covergroup example In the preceding example, the cross coverage group specifies cross coverage between two coverage points, transmission data length and data rate. Each coverage point includes a set of bins associated with sampled values or value transitions. D. Assertions To validate the behavior and timing of a design, this test bench inserts some assertions that state the verification function to be performed. For example: mbus_req_gnt: assert property (@posedge clk) req -> req[*1:$] ##0 gnt;) else $error ( assert failed for MBUS timing, %0t ns,$time); //hold request asserted until and including grant asserted If the assert fails at time 10 ns, the error message shall be printed at time 10 ns. V. EXPERIMENTAL RESULTS Using the test bench of Figure 4, this research finishes one 256x256 matrix data (an image) transfer process. A data sequence processed by graphic module is transmitted to spatial channel by means of the Wi-Fi interface. The transmitting process is described by Figure 8: Fig. 8. Data transfer process 20

5 The data transfer process presented is conceptually quite simple: it is a wireless data flow intended to produce a regular analog waveform, transmission wave form (Figure 9) and reception wave form (Figure 10). Fig a Transmission waveform Figure 9 illustrates the transmission frame including initial Legacy Short and Long Training Field (STF and LTF), and HT Signal Field (SIG) by real data. Figure 10 shows the reception waveform, which is adjusted after LNA and VGA gain, thus the magnitude decreases after a few seconds. VI. CONCLUSION This paper has presented a verification process of a HDTV mixed-signal SoC at system level. Much has been done in the digital design space, digital simulator and verification methods, to address this verification complexity and minimize time consumption during simulation. Key advantages for this implementation include (i) real data in a digital-metric simulation tool and test bench, (ii) verification methodologies applicable to mixed-signal SoC, (iii) reusable real signal models or verification IPs, (iv) a faster approach that can speed up the regression testing period, (v) constrained random input data, (vi) assertion-based verification, (vii) code coverage and functional coverage verification. The results show that it is one of the best choices for complex mixed-signal SoC level verification, because not only does it have faster simulation speed but also is easier to migrate to the advanced digital verification technologies. The drawbacks of such models tend to be less accurate and it is very difficult to write equivalent models for many classes of circuits. Applications of this mixed-signal verification solution are better used for functional simulation. In brief, this paper links analog and digital circuits in a common verification environment to help the verification engineer to apply more verification methods then in traditional approaches. The future research will focus on improving the accuracy of analog models, thereby increasing the flexibility and reusability of the verification. Fig. 10. Reception waveform Coverage analysis provides metrics to evaluate verification rate of progress. It is also possible, by means of code coverage analysis, to find missing test cases and possible bugs. Specifically, 100% functional coverage must be attained because the test bench writer usually sets a oneto-one relationship between the cover point and functional point of DUT. However, it is normally impossible to reach 100% for code coverage because of missing test cases or coding style that makes coverage cases logically uncoverable. The code coverage of I 2 C-BUS Controller, which is one module of the SoC, is shown in report example in Figure 11. Fig. 11. I 2 C-BUS controller code coverage REFERENCES [1] Ken Kundert, Principles of Top-Down mixed-signal design. [Online]. Available: [2] Jones,K., Analog and mixed signal verification. Paper presented at the FMCAD 2008 Formal Methods in Computer Aided Design, Nov 2008, Portland, OR, USA. [3] W.Hartong, L. Hedrich, and E. Barke, Model Checking Algorithms for Analog Verification. ACM/IEEE Design Automation Conference, 2002, pp [4] H. D. Foster, A. Krolnik, and D. Lacey, Assertion-Based Design (2nd Edition). Kluwer Academic Publishers, [5] G.Bonfini, M.Chiavacci, R.Mariani, R.Saletti A New Verification Approach for Mixed-Signal Systems, 2005 IEEE International Behavioral Modeling and Simulation Conference(BMAS 2005),22-23 September 2005, San Jose, California, USA, accepted for web publication. [6] Prabal K Bhattacharya, Swapnajit Chakraborti, Scott Little, Donald O Riordan, Vaibhav Bhutani, Bringing continous domain into SystemVerilog covergroups, DVCon 2012, San Jose, CA. [7] IEEE Standard for SystemVerilog Unified Hardware Design, Specification, and Verification Language Standard IEEE 1800, Design Automation Standards Committee, Nov [8] N. Khan, Y. Kashai and H. Fang, Metric Diven Verification of MixedSignal Designs, Design and Verification Conference(DVCon), [9] Stefan Joeres, Hans-Werner Groh, Stefan Heinen, Event driven analog modeling of rf frontends, Behavioral Modeling and Simulation Workshop, BMAS IEEE International, pp , Sept

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy Hardware Implementation of Improved Adaptive NoC Rer with Flit Flow History based Load Balancing Selection Strategy Parag Parandkar 1, Sumant Katiyal 2, Geetesh Kwatra 3 1,3 Research Scholar, School of

More information

Demonstration of a Software Defined Radio Platform for dynamic spectrum allocation.

Demonstration of a Software Defined Radio Platform for dynamic spectrum allocation. Demonstration of a Software Defined Radio Platform for dynamic spectrum allocation. Livia Ruiz Centre for Telecommunications Value-Chain Research Institute of Microelectronic and Wireless Systems, NUI

More information

Main Ways to Enhance Throughput

Main Ways to Enhance Throughput 802.11n Sanna Puha Contents 1. Introduction 2. Ways to Enhance Throughput 3. MIMO operation 4. Structure of Operating Channel 5. MIMO Transmission Modes 6. Modulation Rates 7. Physical Transmission, PLCP:

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views

AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views Nitin Pant, Gautham Harinarayan, Manmohan Rana Accellera Systems Initiative 1 Agenda Need for SoC AMS Verification Mixed

More information

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible New techniques that are making advanced SoC verification possible By Kishore Karnane and Sathishkumar Balasubramanian, Cadence esign Systems Performing full-chip verification of large mixed-signal systems

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Achievable Transmission Rates and Self-Interference Channel Estimation in Hybrid Full-Duplex/Half-Duplex MIMO Relaying

Achievable Transmission Rates and Self-Interference Channel Estimation in Hybrid Full-Duplex/Half-Duplex MIMO Relaying Achievable Transmission Rates and Self-Interference Channel Estimation in Hybrid Full-Duplex/Half-Duplex MIMO Relaying Dani Korpi 1, Taneli Riihonen 2,3, Katsuyuki Haneda 4, Koji Yamamoto 5, and Mikko

More information

FPGAs in Next Generation Wireless Networks

FPGAs in Next Generation Wireless Networks FPGAs in Next Generation Wireless Networks March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation

More information

Wireless Communication and RF System Design Using MATLAB and Simulink Giorgia Zucchelli Technical Marketing RF & Mixed-Signal

Wireless Communication and RF System Design Using MATLAB and Simulink Giorgia Zucchelli Technical Marketing RF & Mixed-Signal Wireless Communication and RF System Design Using MATLAB and Simulink Giorgia Zucchelli Technical Marketing RF & Mixed-Signal 2013 The MathWorks, Inc. 1 Outline of Today s Presentation Introduction to

More information

Getting off the ground when creating an RVM test-bench

Getting off the ground when creating an RVM test-bench Getting off the ground when creating an RVM test-bench Rich Musacchio, Ning Guo Paradigm Works rich.musacchio@paradigm-works.com,ning.guo@paradigm-works.com ABSTRACT RVM compliant environments provide

More information

Design Considerations for DVT and Manufacturing Test of Wireless Devices

Design Considerations for DVT and Manufacturing Test of Wireless Devices WHITEPAPER Design Considerations for DVT and Manufacturing Test of Wireless Devices 2015 LitePoint, A Teradyne Company. All rights reserved. Introduction Wireless devices are being deployed for a wide

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL IJVD: 3(1), 2012, pp. 15-20 DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL Suvarna A. Jadhav 1 and U.L. Bombale 2 1,2 Department of Technology Shivaji university, Kolhapur, 1 E-mail: suvarna_jadhav@rediffmail.com

More information

Agilent Technologies. Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360

Agilent Technologies. Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360 Agilent Technologies Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360 Table of Contents Introduction...............................................................................3

More information

WLAN Protocol Tester PTW 70

WLAN Protocol Tester PTW 70 Data sheet Version 01.00 WLAN Protocol Tester PTW 70 November 2003 IEEE 802.11 multimode protocol tester for development, integration and verification Applications Development The PTW 70 is an indispensable

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

Surveillance System Using Wireless Sensor Networks

Surveillance System Using Wireless Sensor Networks Surveillance System Using Wireless Sensor Networks Dan Nguyen, Leo Chang Computer Engineering, Santa Clara University Santa Clara, California, USA dantnguyen84@gmail.com chihshun@gmail.com Abstract The

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Non-Data Aided Carrier Offset Compensation for SDR Implementation

Non-Data Aided Carrier Offset Compensation for SDR Implementation Non-Data Aided Carrier Offset Compensation for SDR Implementation Anders Riis Jensen 1, Niels Terp Kjeldgaard Jørgensen 1 Kim Laugesen 1, Yannick Le Moullec 1,2 1 Department of Electronic Systems, 2 Center

More information

Arbitration and Switching Between Bus Masters

Arbitration and Switching Between Bus Masters February 2010 Introduction Reference Design RD1067 Since the development of the system bus that allows multiple devices to communicate with one another through a common channel, bus arbitration has been

More information

Mobile IP Network Layer Lesson 01 OSI (open systems interconnection) Seven Layer Model and Internet Protocol Layers

Mobile IP Network Layer Lesson 01 OSI (open systems interconnection) Seven Layer Model and Internet Protocol Layers Mobile IP Network Layer Lesson 01 OSI (open systems interconnection) Seven Layer Model and Internet Protocol Layers Oxford University Press 2007. All rights reserved. 1 OSI (open systems interconnection)

More information

Bluetooth Stereo Network

Bluetooth Stereo Network ECE 445 Fall 2012 Senior Design Project Proposal Bluetooth Stereo Network Team 7 Jeff Wheeler Rishi Ratan Jerry Sun Prof. Andrew Carl Singer TA: Justine Fortier Table of Contents 1.0 Introduction... 3

More information

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP Department of Electrical and Computer Engineering Ben-Gurion University of the Negev LAB 1 - Introduction to USRP - 1-1 Introduction In this lab you will use software reconfigurable RF hardware from National

More information

Whitepaper. 802.11n The Next Generation in Wireless Technology

Whitepaper. 802.11n The Next Generation in Wireless Technology Whitepaper 802.11n The Next Generation in Wireless Technology Introduction Wireless technology continues to evolve and add value with its inherent characteristics. First came 802.11, then a & b, followed

More information

Serial Communications

Serial Communications April 2014 7 Serial Communications Objectives - To be familiar with the USART (RS-232) protocol. - To be able to transfer data from PIC-PC, PC-PIC and PIC-PIC. - To test serial communications with virtual

More information

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com Serial Wire Debug and the CoreSight TM Debug and Trace Architecture Eddie Ashfield, Ian Field, Peter Harrod *, Sean Houlihane, William Orme and Sheldon Woodhouse ARM Ltd 110 Fulbourn Road, Cambridge, CB1

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

Defining the Smart Grid WAN

Defining the Smart Grid WAN Defining the Smart Grid WAN WHITE PAPER Trilliant helps leading utilities and energy retailers achieve their smart grid visions through the Trilliant Communications Platform, the only communications platform

More information

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware White Paper Understanding DO-254 Compliance for the of Airborne Digital Hardware October 2009 Authors Dr. Paul Marriott XtremeEDA Corporation Anthony D. Stone Synopsys, Inc Abstract This whitepaper is

More information

Demystifying Wireless for Real-World Measurement Applications

Demystifying Wireless for Real-World Measurement Applications Proceedings of the IMAC-XXVIII February 1 4, 2010, Jacksonville, Florida USA 2010 Society for Experimental Mechanics Inc. Demystifying Wireless for Real-World Measurement Applications Kurt Veggeberg, Business,

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Accelerating Mobile Testing for High Volume Production

Accelerating Mobile Testing for High Volume Production WHITEPAPER Accelerating Mobile Testing for High Volume Production Key Considerations for Fast, Effective Mobile Test 2012 LitePoint, A Teradyne Company. All rights reserved. Accelerating Mobile Testing

More information

CONSTRAINT RANDOM VERIFICATION OF NETWORK ROUTER FOR SYSTEM ON CHIP APPLICATION

CONSTRAINT RANDOM VERIFICATION OF NETWORK ROUTER FOR SYSTEM ON CHIP APPLICATION CONSTRAINT RANDOM VERIFICATION OF NETWORK ROUTER FOR SYSTEM ON CHIP APPLICATION T.S Ghouse Basha 1, P. Santhamma 2, S. Santhi 3 1 Associate Professor & Head, Department Electronic & Communication Engineering,

More information

SDR Architecture. Introduction. Figure 1.1 SDR Forum High Level Functional Model. Contributed by Lee Pucker, Spectrum Signal Processing

SDR Architecture. Introduction. Figure 1.1 SDR Forum High Level Functional Model. Contributed by Lee Pucker, Spectrum Signal Processing SDR Architecture Contributed by Lee Pucker, Spectrum Signal Processing Introduction Software defined radio (SDR) is an enabling technology, applicable across a wide range of areas within the wireless industry,

More information

Achieving New Levels of Channel Density in Downstream Cable Transmitter Systems: RF DACs Deliver Smaller Size and Lower Power Consumption

Achieving New Levels of Channel Density in Downstream Cable Transmitter Systems: RF DACs Deliver Smaller Size and Lower Power Consumption Achieving New Levels of Channel Density in Downstream Cable Transmitter Systems: RF DACs Deliver Smaller Size and Lower Power Consumption Introduction By: Analog Devices, Inc. (ADI) Daniel E. Fague, Applications

More information

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50%

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50% Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50% By Kishore Karnane and Corey Goss, Cadence Design Systems If you re spending more than 50% of your verification effort in debug,

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

HARDWARE MANUAL. BrightSign HD120, HD220, HD1020. BrightSign, LLC. 16795 Lark Ave., Suite 200 Los Gatos, CA 95032 408-852-9263 www.brightsign.

HARDWARE MANUAL. BrightSign HD120, HD220, HD1020. BrightSign, LLC. 16795 Lark Ave., Suite 200 Los Gatos, CA 95032 408-852-9263 www.brightsign. HARDWARE MANUAL BrightSign HD120, HD220, HD1020 BrightSign, LLC. 16795 Lark Ave., Suite 200 Los Gatos, CA 95032 408-852-9263 www.brightsign.biz TABLE OF CONTENTS OVERVIEW... 1 Block Diagram... 2 Ports...

More information

System / Verification: Performance & Debug Track Abstracts

System / Verification: Performance & Debug Track Abstracts System / Verification: Performance & Debug Track Abstracts VER2.201 Reducing Snapshot Creation Turnaround for UVM- SV Based TB Using MSIE Approach STMicroelectronics Abhishek Jain - STMicroelectronics

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

The I2C Bus. NXP Semiconductors: UM10204 I2C-bus specification and user manual. 14.10.2010 HAW - Arduino 1

The I2C Bus. NXP Semiconductors: UM10204 I2C-bus specification and user manual. 14.10.2010 HAW - Arduino 1 The I2C Bus Introduction The I2C-bus is a de facto world standard that is now implemented in over 1000 different ICs manufactured by more than 50 companies. Additionally, the versatile I2C-bus is used

More information

Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure

Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure Micah Dowty University of Colorado at Boulder micah@navi.cx March 26, 2004 Abstract Traditional software development

More information

3 Software Defined Radio Technologies

3 Software Defined Radio Technologies 3 Software Defined Radio Technologies 3-1 Software Defined Radio for Next Generation Seamless Mobile Communication Systems In this paper, the configuration of the newly developed small-size software defined

More information

Chapter 2 - The TCP/IP and OSI Networking Models

Chapter 2 - The TCP/IP and OSI Networking Models Chapter 2 - The TCP/IP and OSI Networking Models TCP/IP : Transmission Control Protocol/Internet Protocol OSI : Open System Interconnection RFC Request for Comments TCP/IP Architecture Layers Application

More information

MAJORS: Computer Engineering, Computer Science, Electrical Engineering

MAJORS: Computer Engineering, Computer Science, Electrical Engineering Qualcomm MAJORS: Computer Engineering, Computer Science, Electrical Engineering TITLE: Intern - Software Engineer - Summer 2012 JOB DESCRIPTION: G1889814 Job Title Intern - Software Engineer - Summer 2012

More information

Attenuation (amplitude of the wave loses strength thereby the signal power) Refraction Reflection Shadowing Scattering Diffraction

Attenuation (amplitude of the wave loses strength thereby the signal power) Refraction Reflection Shadowing Scattering Diffraction Wireless Physical Layer Q1. Is it possible to transmit a digital signal, e.g., coded as square wave as used inside a computer, using radio transmission without any loss? Why? It is not possible to transmit

More information

RF System Design and Analysis Software Enhances RF Architectural Planning

RF System Design and Analysis Software Enhances RF Architectural Planning From April 2010 High Frequency Electronics Copyright 2010 Summit Technical Media, LLC RF System Design and Analysis Software Enhances RF Architectural Planning By Dale D. Henkes Applied Computational Sciences

More information

Analysis of Open Source Drivers for IEEE 802.11 WLANs

Analysis of Open Source Drivers for IEEE 802.11 WLANs Preprint of an article that appeared in IEEE conference proceeding of ICWCSC 2010 Analysis of Open Source Drivers for IEEE 802.11 WLANs Vipin M AU-KBC Research Centre MIT campus of Anna University Chennai,

More information

Stream Processing on GPUs Using Distributed Multimedia Middleware

Stream Processing on GPUs Using Distributed Multimedia Middleware Stream Processing on GPUs Using Distributed Multimedia Middleware Michael Repplinger 1,2, and Philipp Slusallek 1,2 1 Computer Graphics Lab, Saarland University, Saarbrücken, Germany 2 German Research

More information

DAC Digital To Analog Converter

DAC Digital To Analog Converter DAC Digital To Analog Converter DAC Digital To Analog Converter Highlights XMC4000 provides two digital to analog converters. Each can output one analog value. Additional multiple analog waves can be generated

More information

GnuRadio CONTACT INFORMATION: phone: +1.301.527.1629 fax: +1.301.527.1690 email: whitepaper@hsc.com web: www.hsc.com

GnuRadio CONTACT INFORMATION: phone: +1.301.527.1629 fax: +1.301.527.1690 email: whitepaper@hsc.com web: www.hsc.com GnuRadio CONTACT INFORMATION: phone: +1.301.527.1629 fax: +1.301.527.1690 email: whitepaper@hsc.com web: www.hsc.com PROPRIETARY NOTICE All rights reserved. This publication and its contents are proprietary

More information

EE4367 Telecom. Switching & Transmission. Prof. Murat Torlak

EE4367 Telecom. Switching & Transmission. Prof. Murat Torlak Path Loss Radio Wave Propagation The wireless radio channel puts fundamental limitations to the performance of wireless communications systems Radio channels are extremely random, and are not easily analyzed

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

Lecture 17: 802.11 Wireless Networking"

Lecture 17: 802.11 Wireless Networking Lecture 17: 802.11 Wireless Networking" CSE 222A: Computer Communication Networks Alex C. Snoeren Thanks: Lili Qiu, Nitin Vaidya Lecture 17 Overview" Project discussion Intro to 802.11 WiFi Jigsaw discussion

More information

ZigBee Technology Overview

ZigBee Technology Overview ZigBee Technology Overview Presented by Silicon Laboratories Shaoxian Luo 1 EM351 & EM357 introduction EM358x Family introduction 2 EM351 & EM357 3 Ember ZigBee Platform Complete, ready for certification

More information

A Faster, More Accurate Approach for System-Level Performance Verification of a Wireless RFIC Design

A Faster, More Accurate Approach for System-Level Performance Verification of a Wireless RFIC Design A Faster, More Accurate Approach for System-Level Performance Verification of a Wireless RFIC Design By Nebabie Kebebew and Rich Davis, Cadence Wireless RFIC designs are growing more complex, increasing

More information

ModelSim-Altera Software Simulation User Guide

ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01102-2.0 Document last updated for Altera Complete

More information

A NOVEL RESOURCE EFFICIENT DMMS APPROACH

A NOVEL RESOURCE EFFICIENT DMMS APPROACH A NOVEL RESOURCE EFFICIENT DMMS APPROACH FOR NETWORK MONITORING AND CONTROLLING FUNCTIONS Golam R. Khan 1, Sharmistha Khan 2, Dhadesugoor R. Vaman 3, and Suxia Cui 4 Department of Electrical and Computer

More information

SuperSpeed USB 3.0: Ubiquitous Interconnect for Next Generation Consumer Applications

SuperSpeed USB 3.0: Ubiquitous Interconnect for Next Generation Consumer Applications Arasan Chip Systems Inc. White Paper SuperSpeed USB 3.0: Ubiquitous Interconnect for Next Generation Consumer Applications By Somnath Viswanath Product Marketing Manager June, 2009 Overview The Universal

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Ping Pong Game with Touch-screen. March 2012

Ping Pong Game with Touch-screen. March 2012 Ping Pong Game with Touch-screen March 2012 xz2266 Xiang Zhou hz2256 Hao Zheng rz2228 Ran Zheng yc2704 Younggyun Cho Abstract: This project is conducted using the Altera DE2 development board. We are aiming

More information

GaAs Switch ICs for Cellular Phone Antenna Impedance Matching

GaAs Switch ICs for Cellular Phone Antenna Impedance Matching GaAs Switch ICs for Cellular Phone Antenna Impedance Matching IWATA Naotaka, FUJITA Masanori Abstract Recently cellular phones have been advancing toward multi-band and multi-mode phones and many of them

More information

ZHONE VDSL2 TECHNOLOGY. Access Technology for the Future. November 2009 CONTENTS

ZHONE VDSL2 TECHNOLOGY. Access Technology for the Future. November 2009 CONTENTS ZHONE VDSL2 TECHNOLOGY Access Technology for the Future November 2009 CONTENTS Introduction 2 VDSL2 technology 2 VDSL2 Deployments: Zhone findings 4 Zhone s VDSL2 solutions 6 Conclusion 8 Access for a

More information

TCP/IP Network Communication in Physical Access Control

TCP/IP Network Communication in Physical Access Control TCP/IP Network Communication in Physical Access Control The way it's done: The security industry has adopted many standards over time which have gone on to prove as solid foundations for product development

More information

Rapid Prototyping of a Frequency Hopping Ad Hoc Network System

Rapid Prototyping of a Frequency Hopping Ad Hoc Network System Rapid Prototyping of a Frequency Hopping Ad Hoc Network System Martin Braun, Nico Otterbach, Jens Elsner, and Friedrich K. Jondral Communications Engineering Lab, Karlsruhe Institute of Technology (KIT),

More information

What is 802.11? Why are standards important?

What is 802.11? Why are standards important? What is 802.11? The 802.11 standards are a group of evolving specifications defined by the Institute of Electrical and Electronic Engineers (IEEE). Commonly referred to as Wi Fi the 802.11 standards define

More information

Modeling a GPS Receiver Using SystemC

Modeling a GPS Receiver Using SystemC Modeling a GPS Receiver using SystemC Modeling a GPS Receiver Using SystemC Bernhard Niemann Reiner Büttner Martin Speitel http://www.iis.fhg.de http://www.iis.fhg.de/kursbuch/kurse/systemc.html The e

More information

Networked AV Systems Pretest

Networked AV Systems Pretest Networked AV Systems Pretest Instructions Choose the best answer for each question. Score your pretest using the key on the last page. If you miss three or more out of questions 1 11, consider taking Essentials

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV UNIT I THE 8086 MICROPROCESSOR 1. What is the purpose of segment registers

More information

Efficient Data Recovery scheme in PTS-Based OFDM systems with MATRIX Formulation

Efficient Data Recovery scheme in PTS-Based OFDM systems with MATRIX Formulation Efficient Data Recovery scheme in PTS-Based OFDM systems with MATRIX Formulation Sunil Karthick.M PG Scholar Department of ECE Kongu Engineering College Perundurau-638052 Venkatachalam.S Assistant Professor

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

PCM Encoding and Decoding:

PCM Encoding and Decoding: PCM Encoding and Decoding: Aim: Introduction to PCM encoding and decoding. Introduction: PCM Encoding: The input to the PCM ENCODER module is an analog message. This must be constrained to a defined bandwidth

More information

Using Pre-Emphasis and Equalization with Stratix GX

Using Pre-Emphasis and Equalization with Stratix GX Introduction White Paper Using Pre-Emphasis and Equalization with Stratix GX New high speed serial interfaces provide a major benefit to designers looking to provide greater data bandwidth across the backplanes

More information

The Effective Number of Bits (ENOB) of my R&S Digital Oscilloscope Technical Paper

The Effective Number of Bits (ENOB) of my R&S Digital Oscilloscope Technical Paper The Effective Number of Bits (ENOB) of my R&S Digital Oscilloscope Technical Paper Products: R&S RTO1012 R&S RTO1014 R&S RTO1022 R&S RTO1024 This technical paper provides an introduction to the signal

More information

Manchester Encoder-Decoder for Xilinx CPLDs

Manchester Encoder-Decoder for Xilinx CPLDs Application Note: CoolRunner CPLDs R XAPP339 (v.3) October, 22 Manchester Encoder-Decoder for Xilinx CPLDs Summary This application note provides a functional description of VHDL and Verilog source code

More information

Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines

Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines Mircea Popa Abstract: The paper approaches the problem of control and selecting possibilities offered by the PC parallel

More information

Design and Functional Verification of A SPI Master Slave Core Using System Verilog

Design and Functional Verification of A SPI Master Slave Core Using System Verilog International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-2307, Volume-2, Issue-2, May 2012 Design and Functional Verification of A SPI Master Slave Core Using System Verilog K.Aditya,M.Sivakumar,

More information

A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet traffic between two intrusion detection systems

A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet traffic between two intrusion detection systems Iowa State University Digital Repository @ Iowa State University Graduate Theses and Dissertations Graduate College 2010 A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet

More information

Allocation of Engineering Resources for RF Front End Modules R&D Submitted by

Allocation of Engineering Resources for RF Front End Modules R&D Submitted by Allocation of Engineering Resources for RF Front End Modules R&D Submitted by John Holland and Jeff Thorn Fall 2004 Table of Contents Abstract... 3 INTRODUCTION... 3 Background... 3 Problem Statement...

More information

Integration of Arduino as a slave system to LonWorks based System using I2C Interface

Integration of Arduino as a slave system to LonWorks based System using I2C Interface Integration of Arduino as a slave system to LonWorks based System using I2C Interface Hijo Joy 1, Sr. Chenthamarai Selvam 2, Prof. Uppu Ramachandriah 3, S. Janakiraman 4 PG Student [Industrial Automation],

More information

Enhancing High-Speed Telecommunications Networks with FEC

Enhancing High-Speed Telecommunications Networks with FEC White Paper Enhancing High-Speed Telecommunications Networks with FEC As the demand for high-bandwidth telecommunications channels increases, service providers and equipment manufacturers must deliver

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Planning for 802.11ac Adoption with Ekahau Site Survey 6.0

Planning for 802.11ac Adoption with Ekahau Site Survey 6.0 Planning for 802.11ac Adoption with Ekahau Site Survey 6.0 1 P a g e w w w. e k a h a u. c o m / e s s Introduction to 802.11ac The emerging next generation Wi-Fi standard IEEE 802.11ac aims to break the

More information

Embedded Component Based Programming with DAVE 3

Embedded Component Based Programming with DAVE 3 Embedded Component Based Programming with DAVE 3 By Mike Copeland, Infineon Technologies Introduction Infineon recently introduced the XMC4000 family of ARM Cortex -M4F processor-based MCUs for industrial

More information

Elettronica dei Sistemi Digitali Costantino Giaconia SERIAL I/O COMMON PROTOCOLS

Elettronica dei Sistemi Digitali Costantino Giaconia SERIAL I/O COMMON PROTOCOLS SERIAL I/O COMMON PROTOCOLS RS-232 Fundamentals What is RS-232 RS-232 is a popular communications interface for connecting modems and data acquisition devices (i.e. GPS receivers, electronic balances,

More information

Using Xbee 802.15.4 in Serial Communication

Using Xbee 802.15.4 in Serial Communication Using Xbee 802.15.4 in Serial Communication Jason Grimes April 2, 2010 Abstract Instances where wireless serial communication is required to connect devices, Xbee RF modules are effective in linking Universal

More information

Application Note 83 Fundamentals of RS 232 Serial Communications

Application Note 83 Fundamentals of RS 232 Serial Communications Application Note 83 Fundamentals of Serial Communications Due to it s relative simplicity and low hardware overhead (as compared to parallel interfacing), serial communications is used extensively within

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Course Curriculum for Master Degree in Electrical Engineering/Wireless Communications

Course Curriculum for Master Degree in Electrical Engineering/Wireless Communications Course Curriculum for Master Degree in Electrical Engineering/Wireless Communications The Master Degree in Electrical Engineering/Wireless Communications, is awarded by the Faculty of Graduate Studies

More information

WiFi. Is for Wireless Fidelity Or IEEE 802.11 Standard By Greg Goldman. WiFi 1

WiFi. Is for Wireless Fidelity Or IEEE 802.11 Standard By Greg Goldman. WiFi 1 WiFi Is for Wireless Fidelity Or IEEE 802.11 Standard By Greg Goldman WiFi 1 What is the goal of 802.11 standard? To develop a Medium Access Control (MAC) and Physical Layer (PHY) specification for wireless

More information