Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Size: px
Start display at page:

Download "Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology"

Transcription

1 Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 16 Etch

2 Applications for Wafer Etch in CMOS Technology Photoresist mask Film to be etched Photoresist mask Protected film (a) Photoresist-patterned substrate (b) Substrate after etch Figure 16.1

3 Process Flow in a Wafer Fab Wafer fabrication (front-end) Wafer start Thin Films Polish Unpatterned wafer Completed wafer Diffusion Photo Etch Test/Sort Implant Used with permission from Advanced Micro Devices Figure 16.2

4 Etch Process Categories of Etch Processes Wet Etch Dry Etch Three Major Materials to be Etched Silicon Dielectric Metal Patterned Etch Versus Unpatterned Etch

5 Etch Parameters Etch rate Etch profile Etch bias Selectivity Uniformity Residues Polymer formation Plasma-induced damage Particle contamination and defects

6 Etch Rate T = change in thickness T t = elapsed time during etch Start of etch End of etch Figure 16.3

7 Wet Chemical Isotropic Etch Isotropic etch -etches in all directions at the same rate Resist Substrate Film Figure 16.4

8 Anisotropic Etch with Vertical Etch Profile Anisotropicetch - etches in only one direction Resist Film Substrate Figure 16.5

9 Sidewall Profiles for Wet Etch Versus Dry Etch Type of Etch Sidewall Profile Diagram Wet Etch Dry Etch Isotropic Isotropic (depending on equipment & parameters) Anisotropic (depending on equipment & parameters) Anisotropic Taper Silicon Trench Table 16.1

10 Etch Bias W b Bias W a Bias Resist Resist Film Film Substrate (a) Substrate (b) Figure 16.6

11 Etching Undercut and Slope Undercut Resist Overetch Film Substrate Figure 16.7

12 Etch Selectivity E r S = E f E r E f Nitride Oxide Figure 16.8

13 Etch Uniformity Randomly select 3 to 5 wafers in a lot Measure etch rate at 5 to 9 locations on each wafer, then calculate etch uniformity for each wafer and compare wafer-to-wafer. Figure 16.9

14 Polymer Sidewall Passivation for Increased Anisotropy Plasma ions Resist Oxide Polymer formation Silicon Figure 16.10

15 Dry Etch Advantages of Dry Etch over Wet Etch Etching Action Potential Distribution

16 Advantages of Dry Etch over Wet Etch 1. Etch profile is anisotropic with excellent control of sidewall profiles. 2. Good CD control. 3. Minimal resist lifting or adhesion problems. 4. Good etch uniformity within wafer, wafer-to-wafer and lot-to-lot. 5. Lower chemical costs for usage and disposal. Table 16.2

17 Plasma Etch Process of a Silicon Wafer RF generator 1) Etchant gases enter chamber Gas delivery Electric field Anode Etch process chamber 2) Dissociation of reactants by electric fields 4) Reactive +ions bombard surface λ 3) Recombination of electrons with atoms creates plasma λ 5) Adsorption of reactive ions on surface By-products 6) Surface reactions of radicals and surface film 8) By-product removal Exhaust 7) Desorptionof by-products Anisotropic etch Substrate Cathode Isotropic etch Figure 16.11

18 Chemical and Physical Dry Etch Mechanisms Physical Etching Chemical Etching Reactive +ions bombard surface Sputtered surface material Surface reactions of radicals + surface film Desorption of by-products Anisotropic etch Isotropic etch Figure 16.12

19 Chemical Versus Physical Dry Plasma Etching Etch Parameter Physical Etch (RF field perpendicular to wafer surface) Physical Etch (RF field parallel to wafer surface) Chemical Etch Combined Physical and Chemical Etch Mechanism Sidewall Profile Selectivity Physical ion sputtering Anisotropic Poor/difficult to increase (1:1) Radicals in plasma reacting with wafer surface* Isotropic Fair/good (5:1 to 100:1) Radicals in liquid reacting with wafer surface Isotropic Good/excellent (up to 500:1) In dry etch, etching includes ion sputtering and radicals reacting with wafer surface Isotropic to Anisotropic Fair/good (5:1 to 100:1) Etch Rate High Moderate Low Moderate CD Control Fair/good Poor * Used primarily for stripping and etchback operations. Table 16.3 Poor to nonexistent Good/excellent

20 Schematic View of Reactor Glow Discharge with Potential Distribution RF Powered electrode (V t ) -V 0 +V V t Ion sheath Plasma (+V p ) V p Grounded electrode Figure 16.13

21 Effects of Changing Plasma Etch Parameters Increase ( ) or Decrease (fl) in Etch Control Parameters Ion Energy DC Bias Etch Rate Selectivity Physical Etch RF Frequency RF Power DC Bias Electrical Size fl fl fl fl Table 16.4

22 Plasma Etch Reactors Parallel plate (planar) reactor Downstream etch systems Triode planar reactor Reactive ion etch (RIE) High-density plasma etchers Etch System Review Endpoint Detection Vacuum for Etch Chambers

23 Parallel Plate Plasma Etching Matching network RF generator Gas-flow controller Gas dispersion screen Endpoint signal Wafer Pressure signal Microcontroller Operator Interface Pressure controller Gas panel Electrodes Exhaust Roots pump Roughing pump Process gases Figure 16.15

24 Schematic of a Downstream Reactor Microwave energy Microwave source 2.45 MHz Plasma chamber Diffuser Wafer chuck Heat lamp To vacuum system Figure 16.16

25 Triode Planar Reactor Induction coil Inductively-coupled RF generator (3.56 MHz) Capacitor Capacitively-coupled RF generator (100 khz) Figure 16.17

26 Parallel Plate RIE Reactor Grounded electrode (anode) Ar + (physical etch component) F (chemical etch component) Wafer Powered electrode (cathode) RF generator Figure 16.19

27 Schematic of Electron Cyclotron Reactor Microwave source 2.45 MHz Quartz window Wave guide Plasma chamber Diffuser Cyclotron magnet Wafer Additional magnet MHz Electrostatic chuck Vacuum system Redrawn from Y. Lii, Etching, ULSI Technology, ed. by C. Chang & S. Sze, (New York: McGraw-Hill, 1996), p Figure 16.20

28 Inductively Coupled Plasma Etch RF generator Inductive coil Dielectric window Plasma chamber Electromagnet Biased wafer chuck Bias RF generator Redrawn from Y. Lii, Etching, ULSI Technology, ed. By C. Chang and S.Sze (New York: McGraw-Hill, 1996), p Figure 16.21

29 Magnetically Enhanced Reactive Ion Etch (MERIE) Electromagnet (1 of 4) Wafer Biased wafer chuck MHz Redrawn from Wet/Dry Etch (College Station, TX: Texas Engineering Extension Service, 1996), p Figure 16.23

30 Configurations Activity Dry Etcher Configurations Pressure (Torr) Barrel Reactive 10-1 to 1 Arrangement Coil or electrodes outside vessel High Density Plasma Parallel Plate (Plasma) Reactive 10-1 to 1 Planar diode (two electrodes) No Downstream Plasma Reactive 10-1 to 1 Coil or electrodes outside vessel Triode Planar Reactive 10-3 Triode (three electrodes) No Ion Beam Milling Inert 10-4 Planar triode No Reactive Ion Etch (RIE) Electron Cyclotron Resonance (ECR) Biasing Bias Source Profile No In cassette (bulk) RF Isotropic No On powered electrode (anode) In cassette (bulk) downstream of plasma On platform electrode On powered electrode (anode) RF RF or Microwave Anisotropic Isotropic Anisotropic Anisotropic Reactive < 0.1 Planar or cylindrical diode No On cathode Anisotropic Reactive 10-4 to 10-3 (low) Distributed ECR Reactive (low) Inductively Coupled Plasma (ICP) Reactive (low) Helicon Wave Reactive (low) Dual Plasma Source Reactive (low) Magnetically Enhanced RIE (MERIE) Reactive (low) Magnetic field in parallel with plasma flow Magnets distributed around central plasma Spiral coil separated from plasma by dielectric plate Plasma generated by electromagnets and plasma density maintained at wafer by magnetic field Independent plasma and wafer biasing Planar diode with magnetic field confining plasma Table 16.5 Yes On cathode RF or DC Anisotropic Yes On cathode RF or DC Anisotropic Yes On cathode RF or DC Anisotropic Yes On cathode RF or DC Anisotropic Yes On cathode RF or DC Anisotropic Yes On cathode RF or DC Anisotropic

31 Endpoint Detection for Plasma Etching Etch Parameter Normal etch Endpoint detection Change in etch rate - detection occurs here. Endpoint signal stops the etch. Time Figure 16.24

32 Characteristic Wavelengths of Excited Species in Plasma Etch Silicon Material Etchant Gas CF 4 /O 2 Cl 2 SiF Emitting Species of some Products SiCl Wavelength (nm) 440; SiO 2 CHF 3 CO 484 Aluminum Photoresist Nitrogen (indicating chamber vacuum leak) Cl 2 BCl 3 O 2 Al AlCl CO OH H N 2 NO 391; 394; Table 16.6

33 Dry Etch Applications Dielectric Dry Etch Oxide Silicon Nitride Silicon Dry Etch Polysilicon Single-Crystal Silicon Metal Dry Etch Aluminum and Metal Stacks TungstenEtchback Contact Metal Etch

34 Requirements for Successful Dry Etch 1. High selectivity to avoid etching materials that are not to be etched (primarily photoresistand underlying materials). 2. Fast etch rate to achieve an acceptable throughput of wafers. 3. Good sidewall profile control. 4. Good etch uniformity across the wafer. 5. Low device damage. 6. Wide process latitude for manufacturing.

35 Dry Etch Critical Parameters Equipment Parameters: Equipment design Source power Source frequency Pressure Temperature Gas-flow rate Vacuum conditions Process recipe Other Contributing Factors: Cleanroomprotocol Operating procedures Maintenance procedures Preventive maintenance schedule Plasma-etching a wafer Figure Process Parameters: Plasma-surface interaction: -Surface material - Material stack of different layers -Surface temperature -Surface charge -Surface topography Chemical and physical requirements Time Quality Measures: Etch rate Selectivity Uniformity Feature profile Critical dimensions Residue

36 Oxide Etch Reactor CF 4 C 3 F 8 C 4 F 8 CHF 3 Selection of fluorocarbon and hydrocarbon chemicals NF 3 SiF 4 Ar HF F CHF Plasma CH 4 CF 2 Wafer Electrostatic chuck Figure 16.26

37 Etch Stop Hard Mask Layer Example: Silicon nitride, Si 3 N 4, serves as etch-stop during LI oxide etch. Note: The numbers show the order of the five operations. 2 Doped oxide CVD 1 Nitride CVD 3 Oxide CMP 4 Oxide etch LI Oxide Nitride etch 5 n-well p-well p- Epitaxial Layer p+ Silicon Substrate Figure 16.27

38 Contact Etching to Varying Depths Contact holes S G D Figure 16.28

39 Polysilicon Conductor Length Polysilicongate Gate oxide Gate Source Drain The gate length determines channel length and defines boundaries for source and drain electrodes. Figure 16.29

40 Polysilicon Gate Etch Process Steps 1. Breakthrough step to remove native oxide and surface contaminants 2. Main-etch step to remove mostpolysilicon without damage to gate oxide 3. Overetch step to remove remaining residues and poly stringers while maintaining high selectivity to gate oxide

41 Undesirable Microtrenching during Polysilicon Gate Etching Ions Trench in gate oxide Gate oxide Resist Poly Substrate Figure 16.30

42 Silicon Trench Etching Figure 16.31

43 Major Requirements for Metal Etching 1. High etch rates (>1000 nm/min). 2. High selectivity to the masking layer (>4:1), interlayer dielectric (>20:1) and to underlying layers. 3. High uniformity with excellent CD control and no microloading (<8% at any location on the wafer). 4. No device damage from plasma-induced electrical charging. 5. Low residue contamination (e.g., copper residue, developer attack and surface defects). 6. Fast resist strip, often in a dedicated cluster tool chamber, with no residual contamination. 7. No corrosion.

44 Metal Stack for VLSI/ULSI Integration Photoresist mask Metal etch ILD-1 TiN Al + Cu (1%) Ti LI Oxide n-well p-well p- Epitaxial layer p+ Silicon substrate Figure 16.32

45 Typical Steps for Etching Metal Stacks 1. Breakthrough step to remove native oxide. 2. ARC layer etch (may be combined with above step). 3. Main etch step of aluminum. 4. Overetch step to remove residue. It may be a continuation of the main etch step. 5. Barrier layer etch. 6. Optional residue removal process to prevent corrosion. 7. Resist removal.

46 Tungsten Etchback Via SiO 2 Tungsten ILD-2 Metal-1 stack ILD-1 (a) Via etch through ILD-2 (SiO2) (b) Tungsten CVD via fill Tungsten plug SiO 2 Metal-2 stack Tungsten plug (c) Tungstenetchback (d) Metal-2 deposition Figure 16.33

47 Wet Etch Wet Etch Parameters Types of Wet Etch Wet Oxide Etch Wet Chemical Strips

48 Wet Etch Parameters Parameter Explanation Difficulty to Control Concentration Time Temperature Agitation Solution concentration (e.g., ratio of NH4F:HF for etch an oxide). Time of wafer immersion in the wet chemical bath. Temperature of wet chemical bath. Agitation of the solution bath. Most difficult parameter to control because the bath concentration is continually changing. Relatively easy to control. Relatively easy to control. Moderate difficulty to properly control. Table 16.7

49 Approximate Oxide Etch Rates in BHF Solution at 25 C Table Approximate Oxide Etch Rates in BHF Solution at 25 C a Type of Oxide Density (g/cm 3 ) Etch Rate (nm/s) Dry grown Wet grown CVD deposited < b 5 c Sputtered < a) 10 parts of 454 g NH 4 F in 680 ml H 2 O and one part 48% HF b) Annealed at approximately 1000 C for 10 minutes c) Not annealed 1 B. El-Kareh, ibid, p Table 16.8

50 Historical Perspective - Polysilicon Etch Technology Evolution Geometry Requirements Time Frame and Reactor Design Chemistries Strengths Limitations and Problems Controls 4 to 5 µm, isotropic etch Pre-1977: wet etch HF/HNO 3 buffered with acetic acid or H 2 O Batch Process Resist lift; bath aging; temperature sensitive Operator judgement for endpoint 3 µm 1977: barrel etcher CF 4 /O 2 Batch Process Non-uniformity, isotropic etch, large undercut Manometer and timer 2 µm 1981: single wafer etch CF 4 /O 2 Single wafer; individual etch endpoint, improvement in repeatability Low oxide selectivity; isotropic process Endpoint detection 1.5 µm 1982: single wafer RIE SF 6 /Freon 11, SF 6 /He MFCs; independent pressure and gas flow control, improvement in repeatability Low oxide selectivity; profile control MFCs; separate gas flow and pressure control To 0.5 µm 1983: variable gap; loadlocked CCl 4 /He, Cl 2 /He, Cl 2 /HBr Load-locked chamber; variable gap, improvement in repeatability Microloading in high aspect ratios; profile control Control of electrode gap; computer controls To 0.25 µm and below 1991: inductively coupled plasma (ICP) Cl 2, HBr High-density plasma; low pressure; simple gas mixtures, improvement in repeatability Complex tool; many variables Independent RF control for plasma generation and wafer bias Table 16.9

51 Photoresist Removal Plasma Ashing Asher Overview Plasma Damage Residue Removal

52 Atomic Oxygen Reaction with Resist in Asher Gas delivery 1) O 2 molecules enter chamber Downstream Plasma Asher reaction chamber 6) By-product removal 2) O 2 dissociates into atomic oxygen Neutral oxygen radicals + + λ λ 3) Plasma energy turns oxygen into + ions 5) By-product desorption 4) Neutral O and O + react with C and H atoms in resist Exhaust Substrate Resist Figure 16.34

53 Post Etch Via Veil Residue Polymer residue Via veils Figure 16.35

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Dry Etch Process Application Note

Dry Etch Process Application Note G-106-0405 pplication ulletin Dry Etch Process pplication Note nthony Ricci Etch Process Overview The etching process removes selected areas from wafer substrates. The two types of etching processes used

More information

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit.

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit. ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Plasma Etching Dr. Lynn Fuller http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1. 6.15JST05.Lecture1-1 1 Etching and Pattern Transer (1) OUTLINE Basic Concepts o Etching Wet Etching Speciic Wet Etches Silicon Silicon Dioxide Aluminum Dry (Plasma) Etch eview o Plasmas eading Assignment:

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching 3.0 Etching and Chemo-Mechanical Polishing 3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching 3.2 Chemo-Mechanical Polishing

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Process Diagnostics of Industrial Plasma Systems

Process Diagnostics of Industrial Plasma Systems Process Diagnostics of Industrial Plasma Systems A thesis for the degree of PHILOSOPHIAE DOCTOR Presented to Dublin City University By Niall Mac Gearailt B.Eng. Faculty of Engineering and Computing Dublin

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-527: MicroFabrication Wet Etching Outline Isotropic Si etching Anisotropic Si etching Anisotropic GaAs etching Isotropic etching of SiO 2, Al, and Cr General features of wet chemical etching Selective

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Methods of plasma generation and plasma sources

Methods of plasma generation and plasma sources Methods of plasma generation and plasma sources PlasTEP trainings course and Summer school 2011 Warsaw/Szczecin Indrek Jõgi, University of Tartu Partfinanced by the European Union (European Regional Development

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Reactive Sputtering Using a Dual-Anode Magnetron System

Reactive Sputtering Using a Dual-Anode Magnetron System Reactive Sputtering Using a Dual-Anode Magnetron System A. Belkind and Z. Zhao, Stevens Institute of Technology, Hoboken, NJ; and D. Carter, G. McDonough, G. Roche, and R. Scholl, Advanced Energy Industries,

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

Chapter 10 CVD and Dielectric Thin Film

Chapter 10 CVD and Dielectric Thin Film Chapter 10 CVD and Dielectric Thin Film 2006/5/23 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

PLASMA TECHNOLOGY OVERVIEW

PLASMA TECHNOLOGY OVERVIEW PLASMA TECHNOLOGY OVERVIEW Plasmas are not a lab curiosity. Plasma processing has been an essential production tool for more than 30 years in the fabrication of microelectronic devices for example. Over

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Deposition of Thin Metal Films " (on Polymer Substrates)!

Deposition of Thin Metal Films  (on Polymer Substrates)! Deposition of Thin Metal Films " (on Polymer Substrates)! Shefford P. Baker! Cornell University! Department of Materials Science and Engineering! Ithaca, New York, 14853! MS&E 5420 Flexible Electronics,

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES Ex parte ELIZABETH G. PAVEL, MARK N. KAWAGUCHI, and JAMES S. PAPANU Appeal 2009-002463 Technology Center 1700

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc.

By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc. WHITEPAPER By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc. THE EVOLUTION OF RF POWER DELIVERY IN Radio frequency (RF) technology has been around since the beginnings

More information

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control J.N. Kidder, Jr., Yiheng Xu. Nayanee Gupta, Theodosia Gougousi, Laurent Henn-Lecordier, G.W. Rubloff University of

More information

Chapter 12. Chemical Mechanical Polishing

Chapter 12. Chemical Mechanical Polishing Chapter 12 Chemical Mechanical Polishing 1 Objectives List applications of CMP Describe basic structure of a CMP system Describe slurries for oxide and metal CMP Describe oxide CMP process. Describe metal

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A.

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. LUMINOUS CHEMICAL VAPOR DEPOSITION and INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. MARCEL MARCEL DEKKER. NEW YORK DEKKER Contents Preface iii Part I.

More information

THIN FILM MATERIALS TECHNOLOGY

THIN FILM MATERIALS TECHNOLOGY THIN FILM MATERIALS TECHNOLOGY Sputtering of Compound Materials by Kiyotaka Wasa Yokohama City University Yokohama, Japan Makoto Kitabatake Matsushita Electric Industrial Co., Ltd. Kyoto, Japan Hideaki

More information

Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process

Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process Revised: March 2009 Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process 1. Introduction The CYCLOTENE 4000 Series advanced electronic resins are I-line-, G-line-,

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Chem 1A Exam 2 Review Problems

Chem 1A Exam 2 Review Problems Chem 1A Exam 2 Review Problems 1. At 0.967 atm, the height of mercury in a barometer is 0.735 m. If the mercury were replaced with water, what height of water (in meters) would be supported at this pressure?

More information

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology Coating technology High performance low-emissivity coating Growing concern with energy efficiency has sparked the development of double low-emissivity coatings in architectural glass. BOC Coating has designed

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Displays. Cathode Ray Tube. Semiconductor Elements. Basic applications. Oscilloscope TV Old monitors. 2009, Associate Professor PhD. T.

Displays. Cathode Ray Tube. Semiconductor Elements. Basic applications. Oscilloscope TV Old monitors. 2009, Associate Professor PhD. T. Displays Semiconductor Elements 1 Cathode Ray Tube Basic applications Oscilloscope TV Old monitors 2 1 Idea of Electrostatic Deflection 3 Inside an Electrostatic Deflection Cathode Ray Tube Gun creates

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Plasma Source. Atom Source, Ion Source and Atom/Ion Hybrid Source

Plasma Source. Atom Source, Ion Source and Atom/Ion Hybrid Source Plasma Source Atom Source, Ion Source and Atom/Ion Hybrid Source The tectra Plasma Source* is a multi-purpose source which can easily be user configured to produce either atoms or ions and finds uses in

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3 Using an ozonated- DI-water technology for photoresist removal Jae-Inh Song, Richard Novak, Ismail Kashkoush, and Pieter Boelen, Akrion As the semiconductor industry shifts from 200- to 300-mm wafers,

More information

Plasma diagnostics focused on new magnetron sputtering devices for thin film deposition

Plasma diagnostics focused on new magnetron sputtering devices for thin film deposition Université Paris-Sud XI Laboratoire de Physique des Gaz et des Plasmas Orsay, France & Masaryk University in Brno Department of Physical Electronics Brno, Czech Republic Plasma diagnostics focused on new

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

A Laboratory Approach to Semiconductor Process Technology

A Laboratory Approach to Semiconductor Process Technology A Laboratory Approach to Semiconductor Process Technology Mary Jane Willis Manufacturing Technology Program Albuquerque TVI, A Community College Albuquerque, New Mexico March, 1998 ABSTRACT The recent

More information

Micro Power Generators. Sung Park Kelvin Yuk ECS 203

Micro Power Generators. Sung Park Kelvin Yuk ECS 203 Micro Power Generators Sung Park Kelvin Yuk ECS 203 Overview Why Micro Power Generators are becoming important Types of Micro Power Generators Power Generators Reviewed Ambient Vibrational energy Radiant

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures

Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures B. E. E. Kastenmeier, a) P. J. Matsuo, J. J. Beulens, and G. S. Oehrlein b) Department of Physics, The University

More information