Analysis and Design of Analog Integrated Circuits Lecture 23. Analog to Digital Conversion

Size: px
Start display at page:

Download "Analysis and Design of Analog Integrated Circuits Lecture 23. Analog to Digital Conversion"

Transcription

1 Analysis and Design of Analog Integrated Circuits Lecture 23 Analog to Digital Conversion Michael H. Perrott April 25, 2012 Copyright 2012 by Michael H. Perrott All rights reserved.

2 Outline of Lecture ADC Topologies - Flash - SAR - Pipeline - Interleaved - Sigma-Delta Special focus on the emerging area of VCO-based ADCs 2

3 Analog to Digital Conversion D 2 D 1 D V in ADC D 0 D 1 D N V ref 1 LSB 7 8 V ref V in Analog input is typically voltage Digital output consists of bits, D k, with values 0 or 1 Key characteristics similar to DAC - Full scale = V ref - Resolution = V ref /2 N = 1 LSB - Nonlinearity measured with INL, DNL, Monotonicity 3

4 Flash ADC N-Stage Resistor Ladder Pre-Amp A Comparator 0 Vref N A A 1 1 IN CLK Fastest ADC structure (> 1 GHz) - Performs direct comparison of an input signal to a set of voltage references using parallel comparators - Typically limited to 8-bit resolution - Relatively large area and power for higher resolution 4

5 SAR ADC V ref D N-1 D N-2 D 2 D 1 D 0 D 0 D 1 DAC V dac 2 N-1 C 2 N-2 C 4C 2C C Φ 0 D N-1 V in CLK V dac C Leverages a DAC to sequentially compare its output values to the input voltage - Minimal analog complexity - requires only one comparator and a capacitor DAC - Successive Approximation Algorithm (SAR) is efficient comparison algorithm for comparing DAC to input value - Has recently become very attractive in advanced CMOS V in CLK for modest resolution (i.e., 8 to 10 bits) applications 5

6 SAR Algorithm V ref V in Gnd D5 =0 D 4 =1 D 3 =1 D 2 =0 D 1 =0 D 0 =0 We can efficiently compare the DAC output to the input voltage, V in, by successively subdividing the range from MSB to LSB - Number of comparisons number of bits Example: 10-bit SAR ADC requires roughly 10 comparisons per sample 6

7 Pipeline ADC V in K V residue1 K V residue2 ADC D 0 DAC V dac1 ADC D 0 DAC V dac2 D J-1 D J-1 CLK Resolves ADC bits in several stages - Earlier stages resolve MSB bits - Calculate residue for later stages through subtraction of MSB estimate Amplify residue so that all stages operate over similar voltage ranges Pipeline trends - 1-bit per stage in the past; now going to multi-bit per stage - For advanced CMOS, interleaved SAR architectures are starting to look more attractive than pipelines 7

8 Interleaved ADC D 0 V D in 1 CLK1 CLK2 CLK3 CLK4 ADC ADC ADC ADC D N-1 D 0 D 1 D N-1 D 0 D 1 D N-1 D 0 D 1 D N-1 CLK1 CLK2 CLK3 CLK4 Clocking several ADC structures at different clock phases allows much higher effective sample rate - Can interleave Flash, SAR, or Pipeline ADCs Key challenges include clock skew, mismatch between ADCs, higher input capacitance 8

9 Sigma-Delta ADC (Discrete-Time) IN H(z) Multi-Level Quantizer OUT clock DAC Oversampled input - Clock rate is much higher than bandwidth of input signal Noise shaped quantization noise - Uses similar concepts as Sigma-Delta DAC considered in Lecture 22 Leads to high effective precision despite having a coarse quantizer 9

10 Sigma-Delta ADC (Continuous-Time) IN H(s) Multi-Level Quantizer OUT DAC clock Similar to Discrete-Time, but important differences - Sampler occurs after the filtering Allows removal of high frequency noise before sampling - Only the quantizer and DAC need to settle during each sample Allows higher speed 10

11 Time-to-Digital Conversion tin(t) Delay Delay Delay clk(t) e[k] tin(t) clk(t) Delay Δt e[k] tin(t) Time -to- Digital clk(t) TDC Characteristic Quantization in time achieved with purely digital gates - Easy implementation, resolution improving with Moore s law e[k] e[k] Δt How can we leverage this for quantizing an analog voltage? 11

12 Adding Voltage-to-Time Conversion in(t) Voltage -to- Time tin(t) Time -to- Digital out[k] Naraghi, Courcy, Flynn, ISSCC 2009 clk(t) Analog voltage is converted into edge times - Time-to-digital converter then turns the edge times into digitized values Key issues - Non-uniform sampling - Noise, nonlinearity Is there a simple implementation for the Voltage-to-Time Converter? 12

13 A Highly Digital ADC Implementation in(t) Ring Oscillator (t) tin(t) tin(t) Delay Delay Delay clk(t) out[k] in(t) Voltage -to- Time tin(t) Time -to- Digital out[k] clk(t) A voltage-controlled ring oscillator offers a simple voltage-to-time structure - Non-uniform sampling is still an issue We can further simplify this implementation and lower the impact of non-uniform sampling 13

14 Making Use of the Ring Oscillator Delay Cells in(t) Ring Oscillator (t) tin(t) tin(t) Delay Delay Delay clk(t) out[k] in(t) Ring Oscillator (t) tin 1 (t) tin 2 (t) tin 3 (t) tin 3 (t) tin 2 (t) tin 1 (t) clk(t) out[k] Utilize all ring oscillator outputs and remove TDC delays - Simpler implementation TDC output now samples/quantizes phase state of oscillator 14

15 Improving Non-Uniform Sampling Behavior in(t) Ring Oscillator (t) tin(t) tin(t) Delay Delay Delay clk(t) out[k] in(t) Ring Oscillator (t) tin 1 (t) tin 2 (t) tin 3 (t) tin 3 (t) tin 2 (t) tin 1 (t) clk(t) out[k] Oscillator edges correspond to a sample window of the input Sampling the oscillator phase state yields sample windows that are much more closely aligned to the TDC clk 15

16 Multi-Phase Ring Oscillator Based Quantizer N-Stage Ring Oscillator N-Stage Ring Oscillator Ref N-bit ister Sample 1 N-bit ister N XOR Gates Sample 2 Adder Out Sample 3 Adjustment of changes how many delay cells are visited by edges per Ref clock period Sample 4 - Quantizer output corresponds to the number of delay cells that experience a transition in a given Ref clock period 16

17 More Details N-Stage Ring Oscillator Example: Progression of 9-Stage Ring Oscillator Values Ref N-bit ister Ref N-bit ister N XOR Gates Adder Choose large enough number of stages, N, such that transitions never cycle through a given stage more than once per Ref clock period - Assume a high Ref clock frequency (i.e., 1 GHz) XOR operation on current and previous samples provides transition count Out Out = 4 Out = 6 Out = 5 17

18 A First Step Toward Modeling Ref N-Stage Ring Oscillator N-bit ister Quantized VCO Phase Sampler VCO Ref Quantizer First Order Difference Out 1- z -1 N-bit ister T Wismar, Wisland, Andreani, ESSCIRC 2006 N XOR Gates Adder First Order Difference Out Quantized VCO Frequency Out = 6 Out = 5 VCO provides quantization, register provides sampling - Model as separate blocks for convenience XOR operation on current and previous samples corresponds to a first order difference operation - Extracts VCO frequency from the sampled VCO phase signal 18

19 Corresponding Frequency Domain Model VCO modeled as integrator and K v nonlinearity Sampling of VCO phase modeled as scale factor of 1/T Quantizer modeled as addition of quantization noise VCO T Ref Quantizer First Order Difference Out 1- z -1 Key non-idealities: - VCO K v nonlinearity - VCO noise - Quantization noise VCO Noise -20 db/dec Quantization Noise Output Noise 20 db/dec f f f 2πK v s 1 T 1- z -1 Out VCO K v Nonlinearity VCO Sampler First Order Difference 19

20 Example Design Point for Illustration Amplitude (db) Simulated ADC Output Spectrum Ref clk: 1/T = 1 GHz 31 stage ring oscillator - Nominal delay per stage: 65 ps K VCO = 500 MHz/V - 5% linearity VCO noise: -100 dbc/hz at 10 MHz offset Frequency (Hz) VCO Noise -20 db/dec f Quantization Noise f Output Noise 20 db/dec f 2πK v s 1 T 1- z -1 Out VCO K v Nonlinearity VCO Sampler First Order Difference 20

21 SNR/SNDR Calculations with 20 MHz Bandwidth 60 Simulated ADC Output Spectrum Conditions SNDR Amplitude (db) Ideal VCO Thermal Noise VCO Thermal + Nonlinearity 68.2 db 65.4 db 32.2 db Frequency (Hz) VCO K v nonlinearity is the key performance bottleneck VCO K v Nonlinearity 2πK v s VCO VCO Noise -20 db/dec f 1 T Sampler Quantization Noise f 1- z -1 First Order Difference Output Noise 20 db/dec Out f 21

22 Classical Analog Versus VCO-based Quantization N-Stage Resistor Ladder Pre-Amp Comparator IN N-Stage Ring Oscillator A 0 Vdd Vdd N A A 1 1 CLK Buffer N-bit ister IN CLK Much more digital implementation Offset and mismatch is not of critical concern Metastability behavior is potentially improved Improved SNR due to quantization noise shaping Implementation is high speed, low power, low area 22

23 Key Performance Issues: Nonlinearity and Noise Very hard to build a simple ring oscillator with linear K v Noise floor set by VCO phase noise is typically higher than for analog amplifiers at same power dissipation Ref N-Stage Ring Oscillator N-bit ister N-bit ister N XOR Gates Adder Out 20 db/dec Quantization Noise VCO Noise f Out VCO K v Nonlinearity 23

24 Feedback Is Our Friend In Gain and Filtering VCO-based Quantizer Ref (1 GHz) Out Iwata, Sakimura, TCAS II, 1999 Naiknaware, Tang, Fiez, TCAS II, 2000 N-Stage Ring Oscillator DAC Out DAC Combining feedback with front end gain acts to suppress impact of quantizer noise and nonlinearity - Scale factor from input to output is also better controlled - Structure is a continuous-time Sigma-Delta ADC Ref N-bit ister N-bit ister N XOR Gates Adder Issue: must achieve a highly linear DAC structure - Otherwise, noise folding and other bad things happen Out 24

25 A Closer Look at the DAC Implementation Ref (1 GHz) In Gain and Filtering VCO-based Quantizer Out N-Stage Ring Oscillator DAC Out DAC Ref N-bit ister Consider direct connection of the quantizer output to a series of 1-bit DACs - Add the DAC outputs together What is so special about doing this? N-bit ister N XOR Gates 1-Bit DACs DAC Out 25

26 Recall that Ring Oscillator Offers Implicit Barrel Shifting Ref (1 GHz) In Gain and Filtering VCO-based Quantizer Out N-Stage Ring Oscillator DAC Out DAC Sample 1 Barrel shifting through delay elements - Mismatch between delay elements is first order shaped Sample 2 Sample 3 Sample 4 26

27 Implicit Barrel Shifting Applied to DAC Elements Ref (1 GHz) Miller, US Patent (2004) In Gain and Filtering VCO-based Quantizer Out N-Stage Ring Oscillator DAC Out DAC Implicit Barrel-Shift DEM Ref N-bit ister N-bit ister Ref Barrel shifting action of quantizer transferred to 1-bit DAC elements N XOR Gates 1-Bit DACs DAC Out - Acts to shape DAC mismatch and linearize its behavior 27

28 First Generation Prototype 973 MHz V IN I DAC1 V A V B VCO-based Quantizer & Barrel-Shift DEM I DAC2 31 D OUT Quantizer Element Barrel-Shift DEM Second order dynamics achieved with only one op-amp - Op-amp forms one integrator - I dac1 and passive network form the other (lossy) integrator - Minor loop feedback compensates delay through quantizer Third order noise shaping is achieved! Sample - VCO-based quantizer adds an extra order of noise shaping 28

29 Custom IC Implementing the Prototype V IN 973 MHz V A V B VCO-based Quantizer & Barrel-Shift DEM D OUT Straayer, Perrott VLSI 2007 I DAC1 I DAC u CMOS Power: 40 mw Active area: 700u X 700u Peak SNDR: 67 db (20 MHz BW) Efficiency: 0.5 pj/conv. step 29

30 Measured Spectrum From Prototype Amplitude (db) Normalized FFT, F IN = 1 MHz Distortion 20 MHz Input Bandwidth SNR 66.4 db SNDR 65.7 db Frequency (MHz) 30

31 Measured SNR/SNDR Vs. Input Amplitude (20 MHz BW) 90 SNR/SNDR vs. Amplitude, F IN = 1 MHz SNR/SNDR (db) SNR SNDR K v nonlinearity limits SNDR to 67 db Amplitude (dbfs) 31

32 Summary ADC design is an active area of research - Many topologies possible - Much innovation is still ongoing, especially as new CMOS fabrication processes are introduced Key topologies - Flash - SAR - Pipeline - Sigma-Delta VCO-based ADCs are a new area of interest - Take advantage of high speed of new CMOS processes - Leverage digital circuits - Can achieve good performance, but innovation still needed 32

Systematic Design for a Successive Approximation ADC

Systematic Design for a Successive Approximation ADC Systematic Design for a Successive Approximation ADC Mootaz M. ALLAM M.Sc Cairo University - Egypt Supervisors Prof. Amr Badawi Dr. Mohamed Dessouky 2 Outline Background Principles of Operation System

More information

b 1 is the most significant bit (MSB) The MSB is the bit that has the most (largest) influence on the analog output

b 1 is the most significant bit (MSB) The MSB is the bit that has the most (largest) influence on the analog output CMOS Analog IC Design - Chapter 10 Page 10.0-5 BLOCK DIAGRAM OF A DIGITAL-ANALOG CONVERTER b 1 is the most significant bit (MSB) The MSB is the bit that has the most (largest) influence on the analog output

More information

Section 3. Sensor to ADC Design Example

Section 3. Sensor to ADC Design Example Section 3 Sensor to ADC Design Example 3-1 This section describes the design of a sensor to ADC system. The sensor measures temperature, and the measurement is interfaced into an ADC selected by the systems

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

12-Bit, 4-Channel Parallel Output Sampling ANALOG-TO-DIGITAL CONVERTER

12-Bit, 4-Channel Parallel Output Sampling ANALOG-TO-DIGITAL CONVERTER For most current data sheet and other product information, visit www.burr-brown.com 12-Bit, 4-Channel Parallel Output Sampling ANALOG-TO-DIGITAL CONVERTER FEATURES SINGLE SUPPLY: 2.7V to 5V 4-CHANNEL INPUT

More information

LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS

LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

Equalization/Compensation of Transmission Media. Channel (copper or fiber)

Equalization/Compensation of Transmission Media. Channel (copper or fiber) Equalization/Compensation of Transmission Media Channel (copper or fiber) 1 Optical Receiver Block Diagram O E TIA LA EQ CDR DMUX -18 dbm 10 µa 10 mv p-p 400 mv p-p 2 Copper Cable Model Copper Cable 4-foot

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 956 24-BIT DIFFERENTIAL ADC WITH I2C LTC2485 DESCRIPTION

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 956 24-BIT DIFFERENTIAL ADC WITH I2C LTC2485 DESCRIPTION LTC2485 DESCRIPTION Demonstration circuit 956 features the LTC2485, a 24-Bit high performance Σ analog-to-digital converter (ADC). The LTC2485 features 2ppm linearity, 0.5µV offset, and 600nV RMS noise.

More information

Interfacing Analog to Digital Data Converters

Interfacing Analog to Digital Data Converters Converters In most of the cases, the PIO 8255 is used for interfacing the analog to digital converters with microprocessor. We have already studied 8255 interfacing with 8086 as an I/O port, in previous

More information

Digital to Analog and Analog to Digital Conversion

Digital to Analog and Analog to Digital Conversion Real world (lab) is Computer (binary) is digital Digital to Analog and Analog to Digital Conversion V t V t D/A or DAC and A/D or ADC D/A Conversion Computer DAC A/D Conversion Computer DAC Digital to

More information

6.025J Medical Device Design Lecture 3: Analog-to-Digital Conversion Prof. Joel L. Dawson

6.025J Medical Device Design Lecture 3: Analog-to-Digital Conversion Prof. Joel L. Dawson Let s go back briefly to lecture 1, and look at where ADC s and DAC s fit into our overall picture. I m going in a little extra detail now since this is our eighth lecture on electronics and we are more

More information

Taking the Mystery out of the Infamous Formula, "SNR = 6.02N + 1.76dB," and Why You Should Care. by Walt Kester

Taking the Mystery out of the Infamous Formula, SNR = 6.02N + 1.76dB, and Why You Should Care. by Walt Kester ITRODUCTIO Taking the Mystery out of the Infamous Formula, "SR = 6.0 + 1.76dB," and Why You Should Care by Walt Kester MT-001 TUTORIAL You don't have to deal with ADCs or DACs for long before running across

More information

AND9035/D. BELASIGNA 250 and 300 for Low-Bandwidth Applications APPLICATION NOTE

AND9035/D. BELASIGNA 250 and 300 for Low-Bandwidth Applications APPLICATION NOTE BELASIGNA 250 and 300 for Low-Bandwidth Applications APPLICATION NOTE Introduction This application note describes the use of BELASIGNA 250 and BELASIGNA 300 in low bandwidth applications. The intended

More information

AVR127: Understanding ADC Parameters. Introduction. Features. Atmel 8-bit and 32-bit Microcontrollers APPLICATION NOTE

AVR127: Understanding ADC Parameters. Introduction. Features. Atmel 8-bit and 32-bit Microcontrollers APPLICATION NOTE Atmel 8-bit and 32-bit Microcontrollers AVR127: Understanding ADC Parameters APPLICATION NOTE Introduction This application note explains the basic concepts of analog-to-digital converter (ADC) and the

More information

Analog signals are those which are naturally occurring. Any analog signal can be converted to a digital signal.

Analog signals are those which are naturally occurring. Any analog signal can be converted to a digital signal. 3.3 Analog to Digital Conversion (ADC) Analog signals are those which are naturally occurring. Any analog signal can be converted to a digital signal. 1 3.3 Analog to Digital Conversion (ADC) WCB/McGraw-Hill

More information

The Effective Number of Bits (ENOB) of my R&S Digital Oscilloscope Technical Paper

The Effective Number of Bits (ENOB) of my R&S Digital Oscilloscope Technical Paper The Effective Number of Bits (ENOB) of my R&S Digital Oscilloscope Technical Paper Products: R&S RTO1012 R&S RTO1014 R&S RTO1022 R&S RTO1024 This technical paper provides an introduction to the signal

More information

The Good, the Bad, and the Ugly Aspects of ADC Input Noise Is No Noise Good Noise? by Walt Kester

The Good, the Bad, and the Ugly Aspects of ADC Input Noise Is No Noise Good Noise? by Walt Kester INTRODUCTION The Good, the Bad, and the Ugly Aspects of ADC Input Noise Is No Noise Good Noise? by Walt Kester MT-004 TUTORIAL All analog-to-digital converters (ADCs) have a certain amount of "input-referred

More information

DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION

DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION Introduction The outputs from sensors and communications receivers are analogue signals that have continuously varying amplitudes. In many systems

More information

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link Kang jik Kim, Ki sang Jeong, Seong ik Cho The Department of Electronics Engineering Chonbuk National

More information

Application Report. 1 Introduction. 2 Resolution of an A-D Converter. 2.1 Signal-to-Noise Ratio (SNR) Harman Grewal... ABSTRACT

Application Report. 1 Introduction. 2 Resolution of an A-D Converter. 2.1 Signal-to-Noise Ratio (SNR) Harman Grewal... ABSTRACT Application Report SLAA323 JULY 2006 Oversampling the ADC12 for Higher Resolution Harman Grewal... ABSTRACT This application report describes the theory of oversampling to achieve resolutions greater than

More information

W a d i a D i g i t a l

W a d i a D i g i t a l Wadia Decoding Computer Overview A Definition What is a Decoding Computer? The Wadia Decoding Computer is a small form factor digital-to-analog converter with digital pre-amplifier capabilities. It is

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science. 6.002 Electronic Circuits Spring 2007

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science. 6.002 Electronic Circuits Spring 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.002 Electronic Circuits Spring 2007 Lab 4: Audio Playback System Introduction In this lab, you will construct,

More information

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP Department of Electrical and Computer Engineering Ben-Gurion University of the Negev LAB 1 - Introduction to USRP - 1-1 Introduction In this lab you will use software reconfigurable RF hardware from National

More information

A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire

A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire 366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 3, MARCH 2001 A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire Tai-Cheng Lee and Behzad Razavi, Member, IEEE Abstract A discrete-time

More information

2. ADC Architectures and CMOS Circuits

2. ADC Architectures and CMOS Circuits /56 2. Architectures and CMOS Circuits Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma de Barcelona paco.serra@imb-cnm.csic.es

More information

A/D Converter based on Binary Search Algorithm

A/D Converter based on Binary Search Algorithm École Polytechnique Fédérale de Lausanne Politecnico di Torino Institut National Polytechnique de Grenoble Master s degree in Micro and Nano Technologies for Integrated Systems Master s Thesis A/D Converter

More information

ABCs of ADCs. Analog-to-Digital Converter Basics. Nicholas Gray Data Conversion Systems Staff Applications Engineer

ABCs of ADCs. Analog-to-Digital Converter Basics. Nicholas Gray Data Conversion Systems Staff Applications Engineer ABCs of ADCs Analog-to-Digital Converter Basics Nicholas Gray Data Conversion Systems Staff Applications Engineer November 24, 2003 Corrected August 13, 2004 Additional Corrections June 27, 2006 1 Agenda

More information

Dithering in Analog-to-digital Conversion

Dithering in Analog-to-digital Conversion Application Note 1. Introduction 2. What is Dither High-speed ADCs today offer higher dynamic performances and every effort is made to push these state-of-the art performances through design improvements

More information

Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008

Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008 Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008 As consumer electronics devices continue to both decrease in size and increase

More information

How PLL Performances Affect Wireless Systems

How PLL Performances Affect Wireless Systems May 2010 Issue: Tutorial Phase Locked Loop Systems Design for Wireless Infrastructure Applications Use of linear models of phase noise analysis in a closed loop to predict the baseline performance of various

More information

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment.

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment. Op-Amp Simulation EE/CS 5720/6720 Read Chapter 5 in Johns & Martin before you begin this assignment. This assignment will take you through the simulation and basic characterization of a simple operational

More information

AMICSA 2012. Integrated SAR Receiver/Converter for L, C and X bands Markku Åberg VTT Technical Research Centre of Finland

AMICSA 2012. Integrated SAR Receiver/Converter for L, C and X bands Markku Åberg VTT Technical Research Centre of Finland AMICSA 2012 Integrated SAR Receiver/Converter for L, C and X bands Markku Åberg VTT Technical Research Centre of Finland 2 The Team Markku Åberg (1), Jan Holmberg (1), Faizah Abu Bakar (2), Tero Nieminen

More information

VCO Phase noise. Characterizing Phase Noise

VCO Phase noise. Characterizing Phase Noise VCO Phase noise Characterizing Phase Noise The term phase noise is widely used for describing short term random frequency fluctuations of a signal. Frequency stability is a measure of the degree to which

More information

Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept

Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept ONR NEURO-SILICON WORKSHOP, AUG 1-2, 2006 Take Home Messages Introduce integrate-and-fire

More information

Timing Errors and Jitter

Timing Errors and Jitter Timing Errors and Jitter Background Mike Story In a sampled (digital) system, samples have to be accurate in level and time. The digital system uses the two bits of information the signal was this big

More information

FEATURES DESCRIPTIO APPLICATIO S. LTC1451 LTC1452/LTC1453 12-Bit Rail-to-Rail Micropower DACs in SO-8 TYPICAL APPLICATIO

FEATURES DESCRIPTIO APPLICATIO S. LTC1451 LTC1452/LTC1453 12-Bit Rail-to-Rail Micropower DACs in SO-8 TYPICAL APPLICATIO 12-Bit Rail-to-Rail Micropower DACs in SO-8 FEATRES 12-Bit Resolution Buffered True Rail-to-Rail Voltage Output 3V Operation (LTC1453), I CC : 250µA Typ 5V Operation (), I CC : 400µA Typ 3V to 5V Operation

More information

Chapter 6: From Digital-to-Analog and Back Again

Chapter 6: From Digital-to-Analog and Back Again Chapter 6: From Digital-to-Analog and Back Again Overview Often the information you want to capture in an experiment originates in the laboratory as an analog voltage or a current. Sometimes you want to

More information

Achieving New Levels of Channel Density in Downstream Cable Transmitter Systems: RF DACs Deliver Smaller Size and Lower Power Consumption

Achieving New Levels of Channel Density in Downstream Cable Transmitter Systems: RF DACs Deliver Smaller Size and Lower Power Consumption Achieving New Levels of Channel Density in Downstream Cable Transmitter Systems: RF DACs Deliver Smaller Size and Lower Power Consumption Introduction By: Analog Devices, Inc. (ADI) Daniel E. Fague, Applications

More information

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS U. Pogliano, B. Trinchera, G.C. Bosco and D. Serazio INRIM Istituto Nazionale di Ricerca Metrologica Torino (Italia)

More information

Nyquist data converter fundamentals Tuesday, February 8th, 9:15 11:35

Nyquist data converter fundamentals Tuesday, February 8th, 9:15 11:35 Sampling switches, charge injection, Nyquist data converter fundamentals Tuesday, February 8th, 9:15 11:35 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 761 A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector Jafar Savoj, Student Member, IEEE, and Behzad Razavi,

More information

Optimizing IP3 and ACPR Measurements

Optimizing IP3 and ACPR Measurements Optimizing IP3 and ACPR Measurements Table of Contents 1. Overview... 2 2. Theory of Intermodulation Distortion... 2 3. Optimizing IP3 Measurements... 4 4. Theory of Adjacent Channel Power Ratio... 9 5.

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

RF Measurements Using a Modular Digitizer

RF Measurements Using a Modular Digitizer RF Measurements Using a Modular Digitizer Modern modular digitizers, like the Spectrum M4i series PCIe digitizers, offer greater bandwidth and higher resolution at any given bandwidth than ever before.

More information

www.jameco.com 1-800-831-4242

www.jameco.com 1-800-831-4242 Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. LF411 Low Offset, Low Drift JFET Input Operational Amplifier General Description

More information

10 BIT s Current Mode Pipelined ADC

10 BIT s Current Mode Pipelined ADC 10 BIT s Current Mode Pipelined ADC K.BHARANI VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA kothareddybharani@yahoo.com P.JAYAKRISHNAN VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA pjayakrishnan@vit.ac.in

More information

Hideo Okawara s Mixed Signal Lecture Series. DSP-Based Testing Fundamentals 46 Per-pin Signal Generator

Hideo Okawara s Mixed Signal Lecture Series. DSP-Based Testing Fundamentals 46 Per-pin Signal Generator Hideo Okawara s Mixed Signal Lecture Series DSP-Based Testing Fundamentals 46 Per-pin Signal Generator Advantest Corporation, Tokyo Japan August 2012 Preface to the Series ADC and DAC are the most typical

More information

5 Analog-to-Digital Conversion Architectures

5 Analog-to-Digital Conversion Architectures Kosonocky, S. & Xiao, P. Analog-to-Digital Conversion Architectures Digital Signal Processing Handbook Ed. Vijay K. Madisetti and Douglas B. Williams Boca Raton: CRC Press LLC, 1999 c 1999byCRCPressLLC

More information

DAC Digital To Analog Converter

DAC Digital To Analog Converter DAC Digital To Analog Converter DAC Digital To Analog Converter Highlights XMC4000 provides two digital to analog converters. Each can output one analog value. Additional multiple analog waves can be generated

More information

24-Bit, 96kHz BiCMOS Sign-Magnitude DIGITAL-TO-ANALOG CONVERTER

24-Bit, 96kHz BiCMOS Sign-Magnitude DIGITAL-TO-ANALOG CONVERTER 49% FPO 24-Bit, 96kHz BiCMOS Sign-Magnitude DIGITAL-TO-ANALOG CONVERTER TM FEATURES SAMPLING FREQUEY (f S ): 16kHz to 96kHz 8X OVERSAMPLING AT 96kHz INPUT AUDIO WORD: 20-, 24-Bit HIGH PERFORMAE: Dynamic

More information

Features. Note Switches shown in digital high state

Features. Note Switches shown in digital high state DAC1020 DAC1021 DAC1022 10-Bit Binary Multiplying D A Converter DAC1220 DAC1222 12-Bit Binary Multiplying D A Converter General Description The DAC1020 and the DAC1220 are respectively 10 and 12-bit binary

More information

CA3306, CA3306A, CA3306C 6-Bit, 15 MSPS, Flash A/D Converters

CA3306, CA3306A, CA3306C 6-Bit, 15 MSPS, Flash A/D Converters August 997 TM CA336, CA336A, CA336C 6-Bit, 5 MSPS, Flash A/D Converters Features CMOS Low Power with Video Speed (Typ).....7mW Parallel Conversion Technique Signal Power Supply Voltage........... 3V to

More information

Programmable-Gain Transimpedance Amplifiers Maximize Dynamic Range in Spectroscopy Systems

Programmable-Gain Transimpedance Amplifiers Maximize Dynamic Range in Spectroscopy Systems Programmable-Gain Transimpedance Amplifiers Maximize Dynamic Range in Spectroscopy Systems PHOTODIODE VOLTAGE SHORT-CIRCUIT PHOTODIODE SHORT- CIRCUIT VOLTAGE 0mV DARK ark By Luis Orozco Introduction Precision

More information

Understand the effects of clock jitter and phase noise on sampled systems A s higher resolution data converters that can

Understand the effects of clock jitter and phase noise on sampled systems A s higher resolution data converters that can designfeature By Brad Brannon, Analog Devices Inc MUCH OF YOUR SYSTEM S PERFORMANCE DEPENDS ON JITTER SPECIFICATIONS, SO CAREFUL ASSESSMENT IS CRITICAL. Understand the effects of clock jitter and phase

More information

Use and Application of Output Limiting Amplifiers (HFA1115, HFA1130, HFA1135)

Use and Application of Output Limiting Amplifiers (HFA1115, HFA1130, HFA1135) Use and Application of Output Limiting Amplifiers (HFA111, HFA110, HFA11) Application Note November 1996 AN96 Introduction Amplifiers with internal voltage clamps, also known as limiting amplifiers, have

More information

LC 2 MOS Signal Conditioning ADC with RTD Excitation Currents AD7711

LC 2 MOS Signal Conditioning ADC with RTD Excitation Currents AD7711 FEATURES Charge-Balancing ADC 24 Bits, No Missing Codes 0.0015% Nonlinearity 2-Channel Programmable Gain Front End Gains from 1 to 128 1 Differential Input 1 Single-Ended Input Low-Pass Filter with Programmable

More information

AN-756 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/326-8703 www.analog.com

AN-756 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/326-8703 www.analog.com APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/326-8703 www.analog.com Sampled Systems and the Effects of Clock Phase Noise and Jitter by Brad Brannon

More information

Analog/Digital Conversion. Analog Signals. Digital Signals. Analog vs. Digital. Interfacing a microprocessor-based system to the real world.

Analog/Digital Conversion. Analog Signals. Digital Signals. Analog vs. Digital. Interfacing a microprocessor-based system to the real world. Analog/Digital Conversion Analog Signals Interacing a microprocessor-based system to the real world. continuous range x(t) Analog and digital signals he bridge: Sampling heorem Conversion concepts Conversion

More information

Analog Representations of Sound

Analog Representations of Sound Analog Representations of Sound Magnified phonograph grooves, viewed from above: The shape of the grooves encodes the continuously varying audio signal. Analog to Digital Recording Chain ADC Microphone

More information

Agilent AN 1316 Optimizing Spectrum Analyzer Amplitude Accuracy

Agilent AN 1316 Optimizing Spectrum Analyzer Amplitude Accuracy Agilent AN 1316 Optimizing Spectrum Analyzer Amplitude Accuracy Application Note RF & Microwave Spectrum Analyzers Table of Contents 3 3 4 4 5 7 8 8 13 13 14 16 16 Introduction Absolute versus relative

More information

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6 Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements Application Note 1304-6 Abstract Time domain measurements are only as accurate as the trigger signal used to acquire them. Often

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

isim ACTIVE FILTER DESIGNER NEW, VERY CAPABLE, MULTI-STAGE ACTIVE FILTER DESIGN TOOL

isim ACTIVE FILTER DESIGNER NEW, VERY CAPABLE, MULTI-STAGE ACTIVE FILTER DESIGN TOOL isim ACTIVE FILTER DESIGNER NEW, VERY CAPABLE, MULTI-STAGE ACTIVE FILTER DESIGN TOOL Michael Steffes Sr. Applications Manager 12/15/2010 SIMPLY SMARTER Introduction to the New Active Filter Designer Scope

More information

Precision Diode Rectifiers

Precision Diode Rectifiers by Kenneth A. Kuhn March 21, 2013 Precision half-wave rectifiers An operational amplifier can be used to linearize a non-linear function such as the transfer function of a semiconductor diode. The classic

More information

Optimizing VCO PLL Evaluations & PLL Synthesizer Designs

Optimizing VCO PLL Evaluations & PLL Synthesizer Designs Optimizing VCO PLL Evaluations & PLL Synthesizer Designs Today s mobile communications systems demand higher communication quality, higher data rates, higher operation, and more channels per unit bandwidth.

More information

GSM/EDGE Output RF Spectrum on the V93000 Joe Kelly and Max Seminario, Verigy

GSM/EDGE Output RF Spectrum on the V93000 Joe Kelly and Max Seminario, Verigy GSM/EDGE Output RF Spectrum on the V93000 Joe Kelly and Max Seminario, Verigy Introduction A key transmitter measurement for GSM and EDGE is the Output RF Spectrum, or ORFS. The basis of this measurement

More information

Designing Stable Compensation Networks for Single Phase Voltage Mode Buck Regulators

Designing Stable Compensation Networks for Single Phase Voltage Mode Buck Regulators Designing Stable Compensation Networks for Single Phase Voltage Mode Buck Regulators Technical Brief December 3 TB47. Author: Doug Mattingly Assumptions This Technical Brief makes the following assumptions:.

More information

Application Note Noise Frequently Asked Questions

Application Note Noise Frequently Asked Questions : What is? is a random signal inherent in all physical components. It directly limits the detection and processing of all information. The common form of noise is white Gaussian due to the many random

More information

Tire pressure monitoring

Tire pressure monitoring Application Note AN601 Tire pressure monitoring 1 Purpose This document is intended to give hints on how to use the Intersema pressure sensors in a low cost tire pressure monitoring system (TPMS). 2 Introduction

More information

Purpose. Measurement Methods and Applications to High-Performance Timing Test. Outline. System-level View. High-frequency Test Approaches

Purpose. Measurement Methods and Applications to High-Performance Timing Test. Outline. System-level View. High-frequency Test Approaches Purpose Measurement Methods and Applications to High-Performance Timing Test Mani Soma Univ of Washington, Seattle To emphasize the measurement issues critical in high-frequency test To develop in-depth

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

A Low-Power, Variable-Resolution Analogto-Digital

A Low-Power, Variable-Resolution Analogto-Digital A Low-Power, Variable-Resolution Analogto-Digital Converter By Carrie Aust Thesis Submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial fulfillment of the requirements

More information

PCM Encoding and Decoding:

PCM Encoding and Decoding: PCM Encoding and Decoding: Aim: Introduction to PCM encoding and decoding. Introduction: PCM Encoding: The input to the PCM ENCODER module is an analog message. This must be constrained to a defined bandwidth

More information

ADC Architectures IV: Sigma-Delta ADC Advanced Concepts and Applications. by Walt Kester

ADC Architectures IV: Sigma-Delta ADC Advanced Concepts and Applications. by Walt Kester TUTORIAL ADC Architectures IV: Sigma-Delta ADC Advanced Concepts and Applications INTRODUCTION by Walt Kester Tutorial MT-022 discussed the basics of Σ-Δ ADCs. In this tutorial, we will look at some of

More information

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis Oliver Schrape 1, Frank Winkler 2, Steffen Zeidler 1, Markus Petri 1, Eckhard Grass 1, Ulrich Jagdhold 1 International

More information

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 http://dx.doi.org/10.5573/jsts.2013.13.3.185 A 1.62/2.7/5.4 Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

More information

Jeff Thomas Tom Holmes Terri Hightower. Learn RF Spectrum Analysis Basics

Jeff Thomas Tom Holmes Terri Hightower. Learn RF Spectrum Analysis Basics Jeff Thomas Tom Holmes Terri Hightower Learn RF Spectrum Analysis Basics Learning Objectives Name the major measurement strengths of a swept-tuned spectrum analyzer Explain the importance of frequency

More information

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course 6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Wireless Systems Direct conversion

More information

12-Bit Serial Daisy-Chain CMOS D/A Converter DAC8143

12-Bit Serial Daisy-Chain CMOS D/A Converter DAC8143 a FEATURES Fast, Flexible, Microprocessor Interfacing in Serially Controlled Systems Buffered Digital Output Pin for Daisy-Chaining Multiple DACs Minimizes Address-Decoding in Multiple DAC Systems Three-Wire

More information

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications 0 QAM Demodulation o o o o o Application area What is QAM? What are QAM Demodulation Functions? General block diagram of QAM demodulator Explanation of the main function (Nyquist shaping, Clock & Carrier

More information

Jitter Transfer Functions in Minutes

Jitter Transfer Functions in Minutes Jitter Transfer Functions in Minutes In this paper, we use the SV1C Personalized SerDes Tester to rapidly develop and execute PLL Jitter transfer function measurements. We leverage the integrated nature

More information

Area 3: Analog and Digital Electronics. D.A. Johns

Area 3: Analog and Digital Electronics. D.A. Johns Area 3: Analog and Digital Electronics D.A. Johns 1 1970 2012 Tech Advancements Everything but Electronics: Roughly factor of 2 improvement Cars and airplanes: 70% more fuel efficient Materials: up to

More information

Reading: HH Sections 4.11 4.13, 4.19 4.20 (pgs. 189-212, 222 224)

Reading: HH Sections 4.11 4.13, 4.19 4.20 (pgs. 189-212, 222 224) 6 OP AMPS II 6 Op Amps II In the previous lab, you explored several applications of op amps. In this exercise, you will look at some of their limitations. You will also examine the op amp integrator and

More information

MATRIX TECHNICAL NOTES

MATRIX TECHNICAL NOTES 200 WOOD AVENUE, MIDDLESEX, NJ 08846 PHONE (732) 469-9510 FAX (732) 469-0418 MATRIX TECHNICAL NOTES MTN-107 TEST SETUP FOR THE MEASUREMENT OF X-MOD, CTB, AND CSO USING A MEAN SQUARE CIRCUIT AS A DETECTOR

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

Title : Analog Circuit for Sound Localization Applications

Title : Analog Circuit for Sound Localization Applications Title : Analog Circuit for Sound Localization Applications Author s Name : Saurabh Kumar Tiwary Brett Diamond Andrea Okerholm Contact Author : Saurabh Kumar Tiwary A-51 Amberson Plaza 5030 Center Avenue

More information

Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization

Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization Shubhara Yewale * and R. S. Gamad ** * (Department of Electronics & Instrumentation

More information

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors.

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors. LM 358 Op Amp S k i l l L e v e l : I n t e r m e d i a t e OVERVIEW The LM 358 is a duel single supply operational amplifier. As it is a single supply it eliminates the need for a duel power supply, thus

More information

High-Frequency Integrated Circuits

High-Frequency Integrated Circuits High-Frequency Integrated Circuits SORIN VOINIGESCU University of Toronto CAMBRIDGE UNIVERSITY PRESS CONTENTS Preface, page xiii Introduction l 1.1 High-frequency circuits in wireless, fiber-optic, and

More information

1995 Mixed-Signal Products SLAA013

1995 Mixed-Signal Products SLAA013 Application Report 995 Mixed-Signal Products SLAA03 IMPORTANT NOTICE Texas Instruments and its subsidiaries (TI) reserve the right to make changes to their products or to discontinue any product or service

More information

Multi-Carrier GSM with State of the Art ADC technology

Multi-Carrier GSM with State of the Art ADC technology Multi-Carrier GSM with State of the Art ADC technology Analog Devices, October 2002 revised August 29, 2005, May 1, 2006, May 10, 2006, November 30, 2006, June 19, 2007, October 3, 2007, November 12, 2007

More information

Conversion Between Analog and Digital Signals

Conversion Between Analog and Digital Signals ELET 3156 DL - Laboratory #6 Conversion Between Analog and Digital Signals There is no pre-lab work required for this experiment. However, be sure to read through the assignment completely prior to starting

More information

Filter Comparison. Match #1: Analog vs. Digital Filters

Filter Comparison. Match #1: Analog vs. Digital Filters CHAPTER 21 Filter Comparison Decisions, decisions, decisions! With all these filters to choose from, how do you know which to use? This chapter is a head-to-head competition between filters; we'll select

More information

Laboratory 4: Feedback and Compensation

Laboratory 4: Feedback and Compensation Laboratory 4: Feedback and Compensation To be performed during Week 9 (Oct. 20-24) and Week 10 (Oct. 27-31) Due Week 11 (Nov. 3-7) 1 Pre-Lab This Pre-Lab should be completed before attending your regular

More information

Basic Op Amp Circuits

Basic Op Amp Circuits Basic Op Amp ircuits Manuel Toledo INEL 5205 Instrumentation August 3, 2008 Introduction The operational amplifier (op amp or OA for short) is perhaps the most important building block for the design of

More information

A Guide to Calibrating Your Spectrum Analyzer

A Guide to Calibrating Your Spectrum Analyzer A Guide to Calibrating Your Application Note Introduction As a technician or engineer who works with electronics, you rely on your spectrum analyzer to verify that the devices you design, manufacture,

More information

Non-Data Aided Carrier Offset Compensation for SDR Implementation

Non-Data Aided Carrier Offset Compensation for SDR Implementation Non-Data Aided Carrier Offset Compensation for SDR Implementation Anders Riis Jensen 1, Niels Terp Kjeldgaard Jørgensen 1 Kim Laugesen 1, Yannick Le Moullec 1,2 1 Department of Electronic Systems, 2 Center

More information