Low Power Design. in CMOS. Digital Integrated Circuits Low Power Design

Size: px
Start display at page:

Download "Low Power Design. in CMOS. Digital Integrated Circuits Low Power Design"

Transcription

1 Low Power Design in CMOS

2 Why worry about power? -- Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164

3 Evolution in Power Dissipation

4 Why worry about power Portability BATTERY (40+ lbs) Multimedia Terminals Laptop Computers Digital Cellular Telephony Nominal Capacity (Watt-hours / lb) Rechargable Lithium Nickel-Cadium Ni-Metal Hydride Year Expected Battery Lifetime increase over next 5 years: 30-40%

5 Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking diodes and transistors

6 Dynamic Power Consumption Vdd Vin Vout C L Energy/transition = C L * V dd 2 Power = Energy/transition * f = C L * V dd 2 * f Not a function of transistor sizes! Need to reduce C L, V dd, and f to reduce power.

7 Dynamic Power Consumption - Revisited Power = Energy/transition * transition rate = C L * V 2 dd * f 0 1 = C L * V 2 dd * P 0 1 * f = C EFF * V 2 dd * f Power Dissipation is Data Dependent Function of Switching Activity C EFF = Effective Capacitance = C L * P 0 1

8 Power Consumption is Data Dependent Example: Static 2 Input NOR Gate Assume: P(A=1) = 1/2 P(B=1) = 1/2 Then: C EFF = 3/16 * C L P(Out=1) = 1/4 P(0 1) = P(Out=0).P(Out=1) = 3/4 1/4 = 3/16

9 Transition Probabilities for Basic Gates

10 Transition Probability of 2-input NOR Gate

11 Problem: Reconvergent Fanout A X B Z Reconvergence P(Z=1) = P(B=1). P(X=1 B=1) Becomes complex and intractable real fast

12 How about Dynamic Circuits? V DD φ M p Out In 1 In 2 In 3 PDN φ M e Power is Only Dissipated when Out=0! C EFF = P(Out=0).C L

13 4-input NAND Gate Example: Dynamic 2 Input NOR Gate Assume: P(A=1) = 1/2 P(B=1) = 1/2 Then: P(Out=0) = 3/4 C EFF = 3/4 * C L Switching Activity Is Always Higher in Dynamic Circuits

14 Transition Probabilities for Dynamic Gates Switching Activity for Precharged Dynamic Gates P 0 1 = P 0

15 Glitching in Static CMOS also called: dynamic hazards A X B C Z ABC X Z Unit Delay Observe: No glitching in dynamic circuits

16 Example 1: Chain of NOR Gates 1 out1 out2 out3 out4 out V (Volt) out2 out8 out6 out4 out1 out3 out t (nsec) out7

17 Example 2: Adder Circuit C in Add0 Add1 Add2 Add14 Add15 S0 S1 S2 S14 S15 Sum Output Voltage, Volts Cin S Time, ns 6 5 S10 S15

18 How to Cope with Glitching? 0 0 F 1 1 F F F 1 F F 3 Equalize Lengths of Timing Paths Through Design

19 Short Circuit Currents Vdd Vin Vout C L 0.15 I VDD (ma) V in (V)

20 Impact of rise/fall times on short-circuit currents V DD V DD I SC 0 I SC I MAX V in V out V in V out C L C L Large capacitive load Small capacitive load

21 Short-circuit energy as a function of slope ratio E / E V DD = 5 V V DD = 3.3 V r W/L P = 7.2µm/1.2µm W/L N = 2.4µm/1.2µm The power dissipation due to short circuit currents is minimized by matching the rise/fall times of the input and output signals.

22 Static Power Consumption Vdd I stat V out V in =5V C L P stat = P (In=1).V dd. I stat Dominates over dynamic consumption Not a function of switching frequency

23 Leakage Vdd Vout Drain Junction Leakage Sub-Threshold Current Sub-Threshold Current Dominant Factor

24 Sub-Threshold in MOS ID V T =0.2 V T =0.6 V GS Lower Bound on Threshold to Prevent Leakage

25 Power Analysis in SPICE i DD + - V DD Circuit Under Test k i DD C R P av Equivalent Circuit for Measuring Power in SPICE

26 Design for Worst Case V DD V DD A B 2 A B F C L A D B 2 C D 2 A 1 B C 2 F Here it is assumed that R p = R n

27 Reducing V dd NORMALIZED POWER-DELAY PRODUCT quadratic dependence 51 stage ring oscillator 8-bit adder Vdd (volts) P x t d = E t = C L * V dd 2 E(Vdd=2) E (Vdd=5) (C L ) * (2) 2 = (CL) * (5) 2 E(Vdd=2) 0.16 E(Vdd =5) Strong function of voltage (V 2 dependence). Relatively independent of logic function and style. Power Delay Product Improves with lowering V DD.

28 Lower V dd Increases Delay multiplier clock generator 2.0µm technology T d = C L * V dd I NORMALIZED DELAY adder ring oscillator adder (SPICE) microcoded DSP chip T d(vdd=2) = (2) * (5-0.7) 2 T d(vdd=5) I ~ (V dd - V t ) 2 4 (5) * (2-0.7) V dd (volts) Relatively independent of logic function and style.

29 Lowering the Threshold Delay I D 2V t V dd V t = 0 V t = 0.2 V GS Reduces the Speed Loss, But Increases Leakage Interesting Design Approach: DESIGN FOR P Leakage == P Dynamic

30 Transistor Sizing for Power Minimization Lower Capacitance Small W/L s Higher Voltage Higher Capacitance Large W/L s Lower Voltage Larger sized devices are useful only when interconnect dominated. Minimum sized devices are usually optimal for low-power.

31 Transistor Sizing for Fixed Throughput I W/L C MIN C g = W/L C MIN C P = C wiring + C DF C MIN = Minimum sized gate (W/L=1) W /L after sizing α = C P / (K C MIN ) HIGH PERFORMANCE W/L >> C P / (K C MIN ) LOW POWER W/L = 2 C P / (K C MIN ) (if C P K C MIN ) NORMALIZED ENERGY ELSE W/L = α = W/L adder α = 0 α = 0.5 α = 1 α = 1.5

32 Reducing Effective Capacitance Global bus architecture Local bus architecture Shared Resources incur Switching Overhead

33 Summary Power Dissipation is becoming Prime Design Constraint Low Power Design requires Optimization at all Levels Sources of Power Dissipation are well characterized Low Power Design requires operation at lowest possible voltage and clock speed

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

THE INVERTER DYNAMICS

THE INVERTER DYNAMICS Dynamic Behavior THE IVERTER DYAMIC Propagation Delay, T p Defines how quickly output is affected by input Measured between 5% transition from input to output t plh defines delay for output going from

More information

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Sheng Li, Junh Ho Ahn, Richard Strong, Jay B. Brockman, Dean M Tullsen, Norman Jouppi MICRO 2009

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Bi-directional level shifter for I²C-bus and other systems.

Bi-directional level shifter for I²C-bus and other systems. APPLICATION NOTE Bi-directional level shifter for I²C-bus and other Abstract With a single MOS-FET a bi-directional level shifter circuit can be realised to connect devices with different supply voltages

More information

CMOS Thyristor Based Low Frequency Ring Oscillator

CMOS Thyristor Based Low Frequency Ring Oscillator CMOS Thyristor Based Low Frequency Ring Oscillator Submitted by: PIYUSH KESHRI BIPLAB DEKA 4 th year Undergraduate Student 4 th year Undergraduate Student Electrical Engineering Dept. Electrical Engineering

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay Logic Gate Delay Chip designers need to choose: What is the best circuit topology for a function? How many stages of logic produce least delay? How wide transistors should be? Logical Effort Helps make

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Three-Phase Dual-Rail Pre-Charge Logic

Three-Phase Dual-Rail Pre-Charge Logic Infineon Page 1 CHES 2006 - Yokohama Three-Phase Dual-Rail Pre-Charge Logic L. Giancane, R. Luzzi, A. Trifiletti {marco.bucci, raimondo.luzzi}@infineon.com {giancane, trifiletti}@die.mail.uniroma1.it Summary

More information

Gate Delay Model. Estimating Delays. Effort Delay. Gate Delay. Computing Logical Effort. Logical Effort

Gate Delay Model. Estimating Delays. Effort Delay. Gate Delay. Computing Logical Effort. Logical Effort Estimating Delays Would be nice to have a back of the envelope method for sizing gates for speed Logical Effort Book by Sutherland, Sproull, Harris Chapter 1 is on our web page Also Chapter 4 in our textbook

More information

Class 11: Transmission Gates, Latches

Class 11: Transmission Gates, Latches Topics: 1. Intro 2. Transmission Gate Logic Design 3. X-Gate 2-to-1 MUX 4. X-Gate XOR 5. X-Gate 8-to-1 MUX 6. X-Gate Logic Latch 7. Voltage Drop of n-ch X-Gates 8. n-ch Pass Transistors vs. CMOS X-Gates

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating S.Nandhini 1, T.G.Dhaarani 2, P.Kokila 3, P.Premkumar 4 Assistant Professor, Dept. of ECE, Nandha Engineering College, Erode,

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

1.5A Very L.D.O Voltage Regulator LM29150/29151/29152

1.5A Very L.D.O Voltage Regulator LM29150/29151/29152 FEATURES High Current Capability 1.5A Low Dropout Voltage 350mV Low Ground Current Accurate 1% Guaranteed Initial Tolerance Extremely Fast Transient Response Reverse-Battery and "Load Dump" Protection

More information

CMOS Logic Integrated Circuits

CMOS Logic Integrated Circuits CMOS Logic Integrated Circuits Introduction CMOS Inverter Parameters of CMOS circuits Circuits for protection Output stage for CMOS circuits Buffering circuits Introduction Symetrical and complementary

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6 E.T.S.E.T.B. MSc in ICT FINAL EXAM VLSI Digital Design Spring Course 2005-2006 June 6, 2006 Score publication date: June 19, 2006 Exam review request deadline: June 22, 2006 Academic consultancy: June

More information

CMOS, the Ideal Logic Family

CMOS, the Ideal Logic Family CMOS, the Ideal Logic Family INTRODUCTION Let s talk about the characteristics of an ideal logic family. It should dissipate no power, have zero propagation delay, controlled rise and fall times, and have

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort Optimization and Comparison of -Stage, -i/p NND Gate, -i/p NOR Gate Driving Standard Load By Using Logical Effort Satyajit nand *, and P.K.Ghosh ** * Mody Institute of Technology & Science/ECE, Lakshmangarh,

More information

HT7660. CMOS Switched-Capacitor Voltage Converter. Features. Applications. General Description. Block Diagram

HT7660. CMOS Switched-Capacitor Voltage Converter. Features. Applications. General Description. Block Diagram CMOS Switched-Capacitor Voltage Converter Features Simple conversion of V DD to V DD Cascade connection (two devices are connected, V OUT = 2 V DD ) Boost pin for higher switching frequency Easy to use

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

Lecture 060 Push-Pull Output Stages (1/11/04) Page 060-1. ECE 6412 - Analog Integrated Circuits and Systems II P.E. Allen - 2002

Lecture 060 Push-Pull Output Stages (1/11/04) Page 060-1. ECE 6412 - Analog Integrated Circuits and Systems II P.E. Allen - 2002 Lecture 060 PushPull Output Stages (1/11/04) Page 0601 LECTURE 060 PUSHPULL OUTPUT STAGES (READING: GHLM 362384, AH 226229) Objective The objective of this presentation is: Show how to design stages that

More information

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Sushil B. Bhaisare 1, Sonalee P. Suryawanshi 2, Sagar P. Soitkar 3 1 Lecturer in Electronics Department, Nagpur University, G.H.R.I.E.T.W. Nagpur,

More information

CMOS Binary Full Adder

CMOS Binary Full Adder CMOS Binary Full Adder A Survey of Possible Implementations Group : Eren Turgay Aaron Daniels Michael Bacelieri William Berry - - Table of Contents Key Terminology...- - Introduction...- 3 - Design Architectures...-

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Gates. J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, TX 77251

Gates. J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, TX 77251 Gates J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, T 77251 1. The Evolution of Electronic Digital Devices...1 2. Logical Operations and the Behavior of Gates...2

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2)

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2) Features General Description Wide supply Voltage range: 2.0V to 36V Single or dual supplies: ±1.0V to ±18V Very low supply current drain (0.4mA) independent of supply voltage Low input biasing current:

More information

Lecture 10: Latch and Flip-Flop Design. Outline

Lecture 10: Latch and Flip-Flop Design. Outline Lecture 1: Latch and Flip-Flop esign Slides orginally from: Vladimir Stojanovic Computer Systems Laboratory Stanford University horowitz@stanford.edu 1 Outline Recent interest in latches and flip-flops

More information

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features.

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features. Features High Voltage CMOS Technology Complementary Outputs Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Plastic SOIC-8 Package 100% Matte Tin Plating over

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors.

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors. LM 358 Op Amp S k i l l L e v e l : I n t e r m e d i a t e OVERVIEW The LM 358 is a duel single supply operational amplifier. As it is a single supply it eliminates the need for a duel power supply, thus

More information

Lecture 5: Logical Effort

Lecture 5: Logical Effort Introduction to CMOS VLSI Design Lecture 5: Logical Effort David Harris Harvey Mudd College Spring 2004 Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of

More information

CD4001BC/CD4011BC Quad 2-Input NOR Buffered B Series Gate Quad 2-Input NAND Buffered B Series Gate

CD4001BC/CD4011BC Quad 2-Input NOR Buffered B Series Gate Quad 2-Input NAND Buffered B Series Gate CD4001BC/CD4011BC Quad 2-Input NOR Buffered B Series Gate Quad 2-Input NAND Buffered B Series Gate General Description The CD4001BC and CD4011BC quad gates are monolithic complementary MOS (CMOS) integrated

More information

A New Low Power Dynamic Full Adder Cell Based on Majority Function

A New Low Power Dynamic Full Adder Cell Based on Majority Function World Applied Sciences Journal 4 (1): 133-141, 2008 ISSN 1818-4952 IDOSI Publications, 2008 A New Low Power Dynamic Full Adder Cell Based on Majority Function 1 Vahid Foroutan, 2 Keivan Navi and 1 Majid

More information

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset

CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset October 1987 Revised March 2002 CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset General Description The CD4027BC dual J-K flip-flops are monolithic complementary MOS (CMOS) integrated circuits

More information

LM138 LM338 5-Amp Adjustable Regulators

LM138 LM338 5-Amp Adjustable Regulators LM138 LM338 5-Amp Adjustable Regulators General Description The LM138 series of adjustable 3-terminal positive voltage regulators is capable of supplying in excess of 5A over a 1 2V to 32V output range

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

CMOS Power Consumption and C pd Calculation

CMOS Power Consumption and C pd Calculation CMOS Power Consumption and C pd Calculation SCAA035B June 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

MM74HC14 Hex Inverting Schmitt Trigger

MM74HC14 Hex Inverting Schmitt Trigger MM74HC14 Hex Inverting Schmitt Trigger General Description The MM74HC14 utilizes advanced silicon-gate CMOS technology to achieve the low power dissipation and high noise immunity of standard CMOS, as

More information

AN460 Using the P82B96 for bus interface

AN460 Using the P82B96 for bus interface INTEGRATED CIRCUITS 2001 Feb 14 IC12a and IC28 Data Handbook The P82B96 offers many different ways in which it can be used as a bus interface. In its simplest application it can be used as an interface

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

MADR-009443-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators. Functional Schematic. Features. Description. Pin Configuration 2

MADR-009443-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators. Functional Schematic. Features. Description. Pin Configuration 2 Features Functional Schematic High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost 4x4 mm, 20-lead PQFN Package 100% Matte

More information

Digital Integrated Circuit (IC) Layout and Design

Digital Integrated Circuit (IC) Layout and Design Digital Integrated Circuit (IC) Layout and Design! EE 134 Winter 05 " Lecture Tu & Thurs. 9:40 11am ENGR2 142 " 2 Lab sections M 2:10pm 5pm ENGR2 128 F 11:10am 2pm ENGR2 128 " NO LAB THIS WEEK " FIRST

More information

N-channel enhancement mode TrenchMOS transistor

N-channel enhancement mode TrenchMOS transistor FEATURES SYMBOL QUICK REFERENCE DATA Trench technology d V DSS = V Low on-state resistance Fast switching I D = A High thermal cycling performance Low thermal resistance R DS(ON) mω (V GS = V) g s R DS(ON)

More information

Cold-Junction-Compensated K-Thermocoupleto-Digital Converter (0 C to +1024 C)

Cold-Junction-Compensated K-Thermocoupleto-Digital Converter (0 C to +1024 C) 19-2235; Rev 1; 3/02 Cold-Junction-Compensated K-Thermocoupleto-Digital General Description The performs cold-junction compensation and digitizes the signal from a type-k thermocouple. The data is output

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

CD4027BMS. CMOS Dual J-K Master-Slave Flip-Flop. Pinout. Features. Functional Diagram. Applications. Description. December 1992

CD4027BMS. CMOS Dual J-K Master-Slave Flip-Flop. Pinout. Features. Functional Diagram. Applications. Description. December 1992 CD7BMS December 199 CMOS Dual J-K Master-Slave Flip-Flop Features Pinout High Voltage Type (V Rating) Set - Reset Capability CD7BMS TOP VIEW Static Flip-Flop Operation - Retains State Indefinitely with

More information

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards Points ddressed in this Lecture Lecture 8: ROM Programmable Logic Devices Professor Peter Cheung Department of EEE, Imperial College London Read-only memory Implementing logic with ROM Programmable logic

More information

COMMON-SOURCE JFET AMPLIFIER

COMMON-SOURCE JFET AMPLIFIER EXPERIMENT 04 Objectives: Theory: 1. To evaluate the common-source amplifier using the small signal equivalent model. 2. To learn what effects the voltage gain. A self-biased n-channel JFET with an AC

More information

High Efficiency Battery Charger using Power Components [1]

High Efficiency Battery Charger using Power Components [1] application note TPB:101 High Efficiency Battery Charger using Power Components [1] Marco Panizza Senior Applications Engineer July 2006 Contents Page Introduction 1 A Unique Converter 1 Control Scheme

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features.

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features. Features High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Lead-Free SOIC-16 Plastic Package Halogen-Free Green Mold Compound

More information

Designing Applications with Lithium-Ion Batteries

Designing Applications with Lithium-Ion Batteries Application Note Roland van Roy AN025 Sep 2014 Designing Applications with Lithium-Ion Batteries Contents 1. Introduction...1 2. Single Li-Ion Cell as Power Source...2 3. Battery Charging...6 4. Battery

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

MM74HC4538 Dual Retriggerable Monostable Multivibrator

MM74HC4538 Dual Retriggerable Monostable Multivibrator MM74HC4538 Dual Retriggerable Monostable Multivibrator General Description The MM74HC4538 high speed monostable multivibrator (one shots) is implemented in advanced silicon-gate CMOS technology. They feature

More information

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu 1 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design

More information

Sequential Logic: Clocks, Registers, etc.

Sequential Logic: Clocks, Registers, etc. ENEE 245: igital Circuits & Systems Lab Lab 2 : Clocks, Registers, etc. ENEE 245: igital Circuits and Systems Laboratory Lab 2 Objectives The objectives of this laboratory are the following: To design

More information

How To Make A Field Effect Transistor (Field Effect Transistor) From Silicon P Channel (Mos) To P Channel Power (Mos) (M2) (Mm2)

How To Make A Field Effect Transistor (Field Effect Transistor) From Silicon P Channel (Mos) To P Channel Power (Mos) (M2) (Mm2) TPC811 TOSHIBA Field Effect Transistor Silicon P Channel MOS Type (U-MOS III) TPC811 Lithium Ion Battery Applications Notebook PC Applications Portable Equipment Applications Unit: mm Small footprint due

More information

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption:

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption: Low-power single CMOS timer Description Datasheet - production data The TS555 is a single CMOS timer with very low consumption: Features SO8 (plastic micropackage) Pin connections (top view) (I cc(typ)

More information

CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset

CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset General Description These dual J-K flip-flops are monolithic complementary MOS (CMOS) integrated circuits constructed with N- and P-

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

MODEL 1211 CURRENT PREAMPLEFIER

MODEL 1211 CURRENT PREAMPLEFIER MODEL 1211 CURRENT PREAMPLEFIER Phone: (607)539-1108 Email: info@dlinstruments.com www.dlinstruments.com The Model 1211 Current Preamplifier was designed to provide all of the features required of a modern

More information

8 by 8 dot matrix LED displays with Cascadable Serial driver B32CDM8 B48CDM8 B64CDM8 General Description

8 by 8 dot matrix LED displays with Cascadable Serial driver B32CDM8 B48CDM8 B64CDM8 General Description 8 by 8 dot matrix LED displays with Cascadable Serial driver B32CDM8 B48CDM8 B64CDM8 General Description The B32CDM8, B48CDM8 and the B64CDM8 are 8 by 8 (row by column) dot matrix LED displays combined

More information

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of the requirements for

More information

Chapter 6 TRANSISTOR-TRANSISTOR LOGIC. 3-emitter transistor.

Chapter 6 TRANSISTOR-TRANSISTOR LOGIC. 3-emitter transistor. Chapter 6 TRANSISTOR-TRANSISTOR LOGIC The evolution from DTL to TTL can be seen by observing the placement of p-n junctions. For example, the diode D2 from Figure 2 in the chapter on DTL can be replaced

More information

CSE140 Homework #7 - Solution

CSE140 Homework #7 - Solution CSE140 Spring2013 CSE140 Homework #7 - Solution You must SHOW ALL STEPS for obtaining the solution. Reporting the correct answer, without showing the work performed at each step will result in getting

More information

RTS5401. USB 3.0 Super-Speed HUB Controller DATASHEET. Doc Rev. 0.90 11 th Apr 2012. i Rev 0.90

RTS5401. USB 3.0 Super-Speed HUB Controller DATASHEET. Doc Rev. 0.90 11 th Apr 2012. i Rev 0.90 USB 3.0 Super-Speed HUB Controller DATASHEET Doc Rev. 0.90 11 th Apr 2012 i Rev 0.90 TRADEMARKS Realtek is a trademark of Realtek Semiconductor Corporation. All other names mentioned in this document are

More information

CD4008BM CD4008BC 4-Bit Full Adder

CD4008BM CD4008BC 4-Bit Full Adder CD4008BM CD4008BC 4-Bit Full Adder General Description The CD4008B types consist of four full-adder stages with fast look-ahead carry provision from stage to stage Circuitry is included to provide a fast

More information

LM117 LM317A LM317 3-Terminal Adjustable Regulator

LM117 LM317A LM317 3-Terminal Adjustable Regulator LM117 LM317A LM317 3-Terminal Adjustable Regulator General Description The LM117 series of adjustable 3-terminal positive voltage regulators is capable of supplying in excess of 1 5A over a 1 2V to 37V

More information

Low-power configurable multiple function gate

Low-power configurable multiple function gate Rev. 7 10 September 2014 Product data sheet 1. General description The provides configurable multiple functions. The output state is determined by eight patterns of 3-bit input. The user can choose the

More information

CE8301 Series. Introduction. Features. Ordering Information. Applications SMALL PACKAGE PFM CONTROL STEP-UP DC/DC CONVERTER

CE8301 Series. Introduction. Features. Ordering Information. Applications SMALL PACKAGE PFM CONTROL STEP-UP DC/DC CONVERTER SMALL PACKAGE PFM CONTROL STEP-UP DC/DC CONVERTER Introduction The is a CMOS PFM-control step-up switching DC/DC converter that mainly consists of a reference voltage source, an oscillator, and a comparator.

More information

CD40174BC CD40175BC Hex D-Type Flip-Flop Quad D-Type Flip-Flop

CD40174BC CD40175BC Hex D-Type Flip-Flop Quad D-Type Flip-Flop Hex D-Type Flip-Flop Quad D-Type Flip-Flop General Description The CD40174BC consists of six positive-edge triggered D- type flip-flops; the true outputs from each flip-flop are externally available. The

More information

. HIGH SPEED .LOW POWER DISSIPATION .COMPATIBLE WITH TTL OUTPUTS M54HCT27 M74HCT27 TRIPLE 3-INPUT NOR GATE. tpd = 9 ns (TYP.

. HIGH SPEED .LOW POWER DISSIPATION .COMPATIBLE WITH TTL OUTPUTS M54HCT27 M74HCT27 TRIPLE 3-INPUT NOR GATE. tpd = 9 ns (TYP. M54HCT27 M74HCT27 TRIPLE 3-INPUT NOR GATE. HIGH SPEED tpd = 9 ns (TYP.) AT VCC =5V.LOW POWER DISSIPATION I CC =1µA (MAX.) AT T A =25 C.COMPATIBLE WITH TTL OUTPUTS VIH = 2V (MIN.) VIL = 0.8V (MAX) OUTPUT

More information

DS1225Y 64k Nonvolatile SRAM

DS1225Y 64k Nonvolatile SRAM DS1225Y 64k Nonvolatile SRAM www.maxim-ic.com FEATURES years minimum data retention in the absence of external power Data is automatically protected during power loss Directly replaces 2k x 8 volatile

More information

DG2731/2732/2733. Low Voltage, 0.4 Ω, Dual SPDT Analog Switch. Vishay Siliconix. RoHS COMPLIANT FEATURES

DG2731/2732/2733. Low Voltage, 0.4 Ω, Dual SPDT Analog Switch. Vishay Siliconix. RoHS COMPLIANT FEATURES Low Voltage, 0.4 Ω, Dual SPDT Analog Switch DG273/2732/2733 DESCRIPTION The DG273/2732/2733 are low voltage, low on-resistance, dual single-pole/double-throw (SPDT) monolithic CMOS analog switches designed

More information

PI5C3244 12345678901234567890123456789012123456789012345678901234567890121234567890123456789012345678901212345678901234567890123456789012123456789012

PI5C3244 12345678901234567890123456789012123456789012345678901234567890121234567890123456789012345678901212345678901234567890123456789012123456789012 Features: Near-Zero propagation delay 5-ohm switches connect inputs to outputs when enabled Direct bus connection when switches are ON Ultra Low Quiescent Power (0.2µA Typical) Ideally suited for notebook

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

Chip Diode Application Note

Chip Diode Application Note Chip Diode Application Note Introduction The markets of portable communications, computing and video equipment are challenging the semiconductor industry to develop increasingly smaller electronic components.

More information

EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS

EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS PURPOSE Logic gates are classified not only by their logical functions, but also by their logical families. In any implementation of a digital system, an understanding

More information

MM74HC273 Octal D-Type Flip-Flops with Clear

MM74HC273 Octal D-Type Flip-Flops with Clear MM74HC273 Octal D-Type Flip-Flops with Clear General Description The MM74HC273 edge triggered flip-flops utilize advanced silicon-gate CMOS technology to implement D-type flipflops. They possess high noise

More information

Quad 2-input NAND Schmitt trigger

Quad 2-input NAND Schmitt trigger Rev. 9 15 December 2015 Product data sheet 1. General description 2. Features and benefits 3. Applications The is a quad two-input NAND gate. Each input has a Schmitt trigger circuit. The gate switches

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

MRF175GU MRF175GV The RF MOSFET Line 200/150W, 500MHz, 28V

MRF175GU MRF175GV The RF MOSFET Line 200/150W, 500MHz, 28V Designed for broadband commercial and military applications using push pull circuits at frequencies to 500 MHz. The high power, high gain and broadband performance of these devices makes possible solid

More information

HCC/HCF4032B HCC/HCF4038B

HCC/HCF4032B HCC/HCF4038B HCC/HCF4032B HCC/HCF4038B TRIPLE SERIAL ADDERS INERT INPUTS ON ALL ADDERS FOR SUM COMPLEMENTING APPLICATIONS FULLY STATIC OPERATION...DC TO 10MHz (typ.) @ DD = 10 BUFFERED INPUTS AND OUTPUTS SINGLE-PHASE

More information

Interfacing 3V and 5V applications

Interfacing 3V and 5V applications Authors: Tinus van de Wouw (Nijmegen) / Todd Andersen (Albuquerque) 1.0 THE NEED FOR TERFACG BETWEEN 3V AND 5V SYSTEMS Many reasons exist to introduce 3V 1 systems, notably the lower power consumption

More information

1.5 Ω On Resistance, ±15 V/12 V/±5 V, icmos, Dual SPDT Switch ADG1436

1.5 Ω On Resistance, ±15 V/12 V/±5 V, icmos, Dual SPDT Switch ADG1436 .5 Ω On Resistance, ±5 V/2 V/±5 V, icmos, Dual SPDT Switch ADG436 FEATURES.5 Ω on resistance.3 Ω on-resistance flatness. Ω on-resistance match between channels Continuous current per channel LFCSP package:

More information

Features Benefits Applications

Features Benefits Applications N9 N-Channel JFET Product Summary V GS(off) (V) V (BR)GSS Min (V) g fs Min SS Min (ma) 5 Features Benefits Applications Excellent High-Frequency Gain: Gps db @ MHz Very Low Noise: db @ MHz Very Low Distortion

More information

Features. Description. Table 1. Device summary. Order code Marking Package Packing. STP110N8F6 110N8F6 TO-220 Tube

Features. Description. Table 1. Device summary. Order code Marking Package Packing. STP110N8F6 110N8F6 TO-220 Tube N-channel 80 V, 0.0056 Ω typ.,110 A, STripFET F6 Power MOSFET in a TO-220 package Features Datasheet - production data Order code V DS R DS(on)max I D P TOT TAB STP110N8F6 80 V 0.0065 Ω 110 A 200 W TO-220

More information