INTRODUCTION TO BIO- MEMS/NEMS

Size: px
Start display at page:

Download "INTRODUCTION TO BIO- MEMS/NEMS"

Transcription

1 INTRODUCTION TO BIO- MEMS/NEMS 丁卫平电子科学与技术系网址 : 电子邮件 办公室 : 科技楼东楼 403/416 Outlines 0: It s a small world 1: How do we make small things? 2: Micropatterning of substrates and cells 3: Microfluidics 4: Molecular biology on a chip 5: Cell-based chips for biotechnology 6: BioMEMS for cell biology 7: Tissue microengineering 8: Microfabricated implants and sensors 9: The frontiers of BioMEMS 1

2 0. It s a small world Dimensions and scaling in biology Size: from our bodies to our molecules Time: from life s origin to enzymatic reactions Energy: from body heat to chemical bonds Electric currents: from electronics to ion channels Complexity Why BioMEMS? A technology that allows us to make small things that are useful for biomedicine 1. How do we make small things? Microfabrication techniques Micropatterning Photolithography Scanning Lithographies Soft Lithography Microstamping ( Microcontact Printing ) Microfluidic Patterning Stencil Patterning Dynamic Substrates Micromachining Micromolding: PDMS, plastics Subtraction: dry/wet etching Addition: deposition/growth 2

3 1.1. Benefits of microfabrication 1.2. Photolithography 1. Photoresist (photosensitive organic polymer) 2. Selective illumination through mask Positive / Negative photoresist Contact / Projection 3. Dissolution of photoresist 3

4 Discussion on use of photoresist for patterning biological material Clean room requirements: biological solutions? Substrate requirements: plastic? glass? Compatible with proteins? Compatible with cells? D photoresist structures 4

5 1.4. The SU-8 era Photoplastic SU-8 photosensitized epoxy negative photoresist Depth = 53 µm 750 rpm ~ 50 µm 30 s 365 nm 20 min. dev. aspect ratios > 5:1 vertical sidewalls 1.5. Tilted exposure 5

6 1.6. Biocompatible photoresists 1.7. Maskless Photolithography Laser Writer Raster Scanning of SU8 6

7 1.8. Maskless Photolithography Digital Micromirror Device Texas Instruments 1.9. Micromachining 1. Photoresist micropattern 2. Chemical etching through photoresist mask dry etching (ion plasma) wet etch (acids, bases, etc.) selectivity is an issue 3. Photoresist stripping 7

8 1.10. Metal deposition and lift-off 1. Photoresist micropattern 2.a. Blanket deposition of material Metal evaporation Metal sputtering 2.b. Selective growth Electrochemical growth Self-assembly 3. Photoresist lift-off Micromachining of a cantilevered tip Si Deposition of Si 3 N 4 Etch of Si 3 N 4 with reactive plasma Etch of Si with HNO 3 /HF Three masks Si 3 N 4 8

9 1.12. Flexible substrates Laser-cut laminated devices 9

10 Combinatorial Micromixer 4 dilutions of yellow 4 dilutions of blue = 16 outputs 9 Mylar laminates 4 fluidic layers Chris Neils, Lab Chip (2004) Laser deposition in-situ 10

11 1.15. Laser direct writing Micromolding Duroplastic ( thermoset ) polymers Thermoplastic polymers Elastomeric polymers Injection molding Hot embossing Soft Lithography 11

12 1.16. Photolithography vs. Soft Lithography Soft lithography First paper on microcontact printing First paper on microfluidic patterning Kim, E., Xia, Y., and Whitesides, G.M. Nature 376, (1995) 12

13 1.17. PDMS micromolding 1. Photolithography 2. Pour polymer precursor(s) and cure 3. Peel off and cut 4. Apply PDMS micromolding PDMS replica PDMS Photoresist (SU8) master Inexpensive Multiple replicas 30 µm 13

14 1.18. Structural integrity of PDMS walls The magic of PDMS Inexpensive Very elastic and soft Transparent down to 300 nm O CH 3 Si O CH 3 Si O Surface is hydrophobic Self-seals by conformal contact CH 3 CH 3 Inert, but can be oxidized, etched, and derivatized Biocompatible Swells when exposed to solvents High permeability to gases and fluids Expands a lot with temperature 14

15 Soft lithography: Microcontact printing Material is added where stamp contacts surface 1. Ink Poly-dimethylsiloxane (PDMS) (transparent rubber) 2. Transfer Microcontact printing 15

16 1.20. Selective inking of a flat stamp Soft Lithography: Microfluidic Patterning 1. Fill Material is added where stamp does not contact the surface Inlet fabrication? Seal? Filling method? Uniformity of filling? Types of solutions? microchannels 2. Remove microchannels Immobilization of material? Procedure for removal of microchannels? 16

17 1.21. Micromolding in capillaries (MIMIC) Microfluidically-patterned polyurethane 3D structures 17

18 Microfluidic patterning for BioMEMS Science 276, 779 (1997) microchannels filled by capillarity Stopped-flow lithography 18

19 1.24. Railed microfluidic fabrication Lock-release microfluidic lithography 19

20 1.26. Lock-release microfluidic lithography Fabrication of PDMS stencils 20

21 1.28. Fabrication of PDMS stencils by exclusion molding Tunable micromolding 21

22 1.30. Molding of PDMS from liquid patterns Traditional photolithography is limited to 2-D 1. Homogeneous photoresist thickness 2. Mask only has 2 levels of opacity 3. Developing is homogeneous 22

23 1.31. Microfluidic photomasks for grayscale photolithography Agarose stamps 23

24 1.33. Depositing and etching of posts and wells using agarose stamps Nanoscale lithography Also: scanning beam deposition: Energetic particles (electrons, ions, photons) break bonds in gas or liquid, resulting in solid remains 24

25 1.35. Mesoscale self-assembly 25

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Projet ConProMi : convergence Microtechnologie / Plasturgie dans la fabrication des outillages et l intégration des capteurs

Projet ConProMi : convergence Microtechnologie / Plasturgie dans la fabrication des outillages et l intégration des capteurs Projet ConProMi : convergence Microtechnologie / Plasturgie dans la fabrication des outillages et l intégration des capteurs The ConProMi project : converging Microtechnology / Polymer technologies for

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

the runnerless types of molds are explained post molding operations are described the basic methods of applied decoration methods are examined

the runnerless types of molds are explained post molding operations are described the basic methods of applied decoration methods are examined Training Objectives After watching the video and reviewing this printed material, the viewer will gain knowledge and understanding of the various plastic finishing processes used in industry and their

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

PLASMA TECHNOLOGY OVERVIEW

PLASMA TECHNOLOGY OVERVIEW PLASMA TECHNOLOGY OVERVIEW Plasmas are not a lab curiosity. Plasma processing has been an essential production tool for more than 30 years in the fabrication of microelectronic devices for example. Over

More information

Why silicon MEMS? MEMS@KTH. Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging

Why silicon MEMS? MEMS@KTH. Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging Why silicon MEMS? MEMS@KTH Small Identical Large volumes (low cost per unit) School of Electrical Engineering Royal Institute of Technology Silicon is a strong material... Photolithography 10 µm thick

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Fundamentals and Applications of Microfluidics

Fundamentals and Applications of Microfluidics Fundamentals and Applications of Microfluidics Second Edition Nam-Trung Nguyen Steven T. Wereley ARTECH HOUSE BOSTON LONDON artechhouse.com Contents Preface Acknowledgments xi xiii Chapter 1 Introduction

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

Light management for photovoltaics using surface nanostructures

Light management for photovoltaics using surface nanostructures Light management for photovoltaics using surface nanostructures Roberta De Angelis Department of Industrial Engineering and INSTM, University of Rome Tor Vergata New Materials For Optoelectronics webnemo.uniroma2.it

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Design for Microfluidic Device Manufacture Guidelines

Design for Microfluidic Device Manufacture Guidelines Design for Microfluidic Device Manufacture Guidelines Editors: Henne van Heeren (enablingmnt), Peter Hewkin (facilitator of the Microfluidics Consortium) With contributions from the following members of

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Safety, Cleaning, and Chemical Disposal Procedures

Safety, Cleaning, and Chemical Disposal Procedures Safety, Cleaning, and Chemical Disposal Procedures 1. Using Acids At many points in the fabrication process strong acids are used as etchants. These cause severe burns if kept in contact with your skin

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Rapid Prototyping. Training Objective

Rapid Prototyping. Training Objective Training Objective After watching the program and reviewing this printed material, the viewer will understand the principles and practical applications of Rapid Prototyping. Basic concepts are explained

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium 90% added energy However can reaction can chemically

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Supporting Information. Rapid Prototyping of Microchannels with Surface Patterns for Fabrication of Polymer Fibers

Supporting Information. Rapid Prototyping of Microchannels with Surface Patterns for Fabrication of Polymer Fibers Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2015 Supporting Information Rapid Prototyping of Microchannels with Surface Patterns for Fabrication

More information

BNG 331 Cell-Tissue Material Interactions. Biomaterial Surfaces

BNG 331 Cell-Tissue Material Interactions. Biomaterial Surfaces BNG 331 Cell-Tissue Material Interactions Biomaterial Surfaces Course update Updated syllabus Homework 4 due today LBL 5 Friday Schedule for today: Chapter 8 Biomaterial surface characterization Surface

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Photolithography (source: Wikipedia)

Photolithography (source: Wikipedia) Photolithography (source: Wikipedia) For earlier uses of photolithography in printing, see Lithography. For the same process applied to metal, see Photochemical machining. Photolithography (also called

More information

Lab-on-a-Chip Design + Foundry Service

Lab-on-a-Chip Design + Foundry Service Lab-on-a-Chip Design + Foundry Service Visions to Products Assay Integration Automation and miniaturization of biochemical assays The Lab-on-a-Chip Design + Foundry-Service offers a shortcut to lab-on-a-chip

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Introduction to Photolithography Concepts via printed circuit board (PCB) manufacturing. PCB Background Information (courtesy of Wikipedia)

Introduction to Photolithography Concepts via printed circuit board (PCB) manufacturing. PCB Background Information (courtesy of Wikipedia) Introduction to Photolithography Concepts via printed circuit board (PCB) manufacturing Introduction As you saw on the video (http://www.youtube.com/watch?v=9x3lh1zfggm), photolithography is a way to nanomanufacture

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Biomaterials in tissue engineering

Biomaterials in tissue engineering Biomaterials in tissue engineering S. Swaminathan Director Centre for Nanotechnology & Advanced Biomaterials School of Chemical & Biotechnology SASTRA University Thanjavur 613 401 Tamil Nadu Page 1 of

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

Copyright 2001 Scientific American, Inc.

Copyright 2001 Scientific American, Inc. NANOFABRICATION art The of Building Small BY GEORGE M. WHITESIDES AND J. CHRISTOPHER LOVE RESEARCHERS ARE DISCOVERING CHEAP, EFFICIENT WAYS TO MAKE STRUCTURES ONLY A FEW BILLIONTHS OF A METER ACROSS INTRICATE

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

RAPID PROTOTYPING. Learning Objectives: By the end of the lecture the student should be able to: Explain the fundamentals of Rapid Prototyping

RAPID PROTOTYPING. Learning Objectives: By the end of the lecture the student should be able to: Explain the fundamentals of Rapid Prototyping RAPID PROTOTYPING Learning Objectives: By the end of the lecture the student should be able to: Explain the fundamentals of Rapid Prototyping Outline and explain differences of Rapid Prototyping Technologies

More information

Fabrication Challenges for Point-ofcare Diagnostics and Organ-on-chip

Fabrication Challenges for Point-ofcare Diagnostics and Organ-on-chip Fabrication Challenges for Point-ofcare Diagnostics and Organ-on-chip Zulfiqur Ali (z.ali@tees.ac.uk) Project DIGINOVA: Biomedical Applications for Digital Fabrication, 6 th November 2013, CPI s National

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Catalase. ***You will be working with hot water, acids and bases in this laboratory*** ****Use Extreme Caution!!!****

Catalase. ***You will be working with hot water, acids and bases in this laboratory*** ****Use Extreme Caution!!!**** AP BIOLOGY BIOCHEMISTRY ACTIVITY #9 NAME DATE HOUR CATALASE LAB INTRODUCTION Hydrogen peroxide (H 2 O 2 ) is a poisonous byproduct of metabolism that can damage cells if it is not removed. Catalase is

More information

Technical Synopsis of Plasma Surface Treatments

Technical Synopsis of Plasma Surface Treatments Technical Synopsis of Plasma Surface Treatments Wesley Taylor Advisor: Dr. Bruce Welt University of Florida, Gainesville, FL December, 2009 Abstract Surface treatment technology delves into some of the

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Waterproofing System for Wastewater Tanks in Petrochemical Industries and Refineries

Waterproofing System for Wastewater Tanks in Petrochemical Industries and Refineries Waterproofing System for Wastewater Tanks in Petrochemical Industries and Refineries Introduction Wastewater of petrochemical industries and refineries contains high amounts of emulsified aliphatic or

More information

RAPID TOOLING USING SU-8 FOR INJECTION MOLDING MICROFLUIDIC COMPONENTS

RAPID TOOLING USING SU-8 FOR INJECTION MOLDING MICROFLUIDIC COMPONENTS Header for SPIE use RAPID TOOLING USING SU-8 FOR INJECTION MOLDING MICROFLUIDIC COMPONENTS Thayne L. Edwards *1, Swomitra K. Mohanty 1, Russell K. Edwards 2, Charles Thomas 2, A. Bruno Frazier 1 1 Georgia

More information

Precision manufacturing methods of inserts for injection molding of microfluidic systems.

Precision manufacturing methods of inserts for injection molding of microfluidic systems. Precision manufacturing methods of inserts for injection molding of microfluidic systems. Giuliano Bissacco, Hans N. Hansen, Peter T. Tang & Jimmy Fugl Department of Manufacturing Engineering and Management

More information

Light metal corrosion protection with water-borne silane systems

Light metal corrosion protection with water-borne silane systems Platzhalter Titelbild Light metal corrosion protection with water-borne silane systems Dr. Philipp Albert 14.06.2011 Agenda 1. lanes, hydrolysis and condensation, sol-gel process 2. Water-borne sol-gel

More information

Protease Peptide Microarrays Ready-to-use microarrays for protease profiling

Protease Peptide Microarrays Ready-to-use microarrays for protease profiling Protocol Protease Peptide Microarrays Ready-to-use microarrays for protease profiling Contact us: InfoLine: +49-30-97893-117 Order per fax: +49-30-97893-299 Or e-mail: peptide@jpt.com www: www.jpt.com

More information

Why Being Small? Savings in time & cost. Disposable Parallel processing Integration/Automation. Gain from the unique microscopic features

Why Being Small? Savings in time & cost. Disposable Parallel processing Integration/Automation. Gain from the unique microscopic features Miniaturization in Electronic Technology ENIAC: the "Electronic Numerical Integrator and Calculator, 1943 ENIAC filled a 20 by 40 feet room, weighed 30 tons, and used more than 18,000 vacuum tubes. iphone

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Rapid prototyping. CAD / lecture. October 5, 2010. TO&I Vermelding onderdeel organisatie

Rapid prototyping. CAD / lecture. October 5, 2010. TO&I Vermelding onderdeel organisatie 1 Rapid prototyping is: Rapid prototyping is an additive (layered) digital fabrication technology Layers of material are added forming the final 3d physical model The digital data of the virtual 3d model

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

The Anatomy of a Label

The Anatomy of a Label The Anatomy of a Label Three key elements make up a label: face stock, release liner, and adhesive. Face Stock: Face stock is the material of the label that carries the imprint. The print can be applied

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Etching using chemicals

Etching using chemicals Etching using chemicals Free tutorial Artsandtechniques.com Arts and Techniques School RJDS inc. Etching using chemicals There are several ways to etch metal, this technique uses a chemical. The etching

More information

一 Development of microchip integrated with electrochemical sensor in conjunction with indium tin oxide electrode

一 Development of microchip integrated with electrochemical sensor in conjunction with indium tin oxide electrode 一 Development of microchip integrated with electrochemical sensor in conjunction with indium tin oxide electrode We developed a microchips integrated with electrochemical sensor which uses indium tin oxide

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

2. The mold is closed up and held under hydraulic pressure while the rubber material or compound cures.

2. The mold is closed up and held under hydraulic pressure while the rubber material or compound cures. Designing with Rubber Molding Processes Compression Molding Compression molding is the process of placing a pre-load of a rubber material or compound directly in the mold cavity and compressed to the shape

More information

Silica Over-Saturation, Precipitation, Prevention and Remediation In Hot Water Systems Edited By Dave Peairs, Cal Water, Technical Director

Silica Over-Saturation, Precipitation, Prevention and Remediation In Hot Water Systems Edited By Dave Peairs, Cal Water, Technical Director Silica Over-Saturation, Precipitation, Prevention and Remediation In Hot Water Systems Edited By Dave Peairs, Cal Water, Technical Director Background Silica scaling becomes a problem when any hot water

More information

Fraunhofer Institute for Material and Beam Technology

Fraunhofer Institute for Material and Beam Technology Laser Micro Processing Dr. Udo Klotzbach Fraunhofer Institute for Material and Beam Technology Dresden Overview Equipment - CO 2 -lasers - Solid state lasers (Nd:YAG, Disk, Fiber) - Diode lasers - Excimer

More information

Chapter 5 POWDER-BASED RAPID PROTOTYPING SYSTEMS

Chapter 5 POWDER-BASED RAPID PROTOTYPING SYSTEMS Chapter 5 POWDER-BASED RAPID PROTOTYPING SYSTEMS 5.1 3D SYSTEMS SELECTIVE LASER SINTERING (SLS) 5.1.1 Company 3D Systems Corporation was founded by Charles W. Hull and Raymond S. Freed in 1986. The founding

More information

Tech Transfer to Start-up and Manufacturing - Fabrication. Chris Moody

Tech Transfer to Start-up and Manufacturing - Fabrication. Chris Moody Tech Transfer to Start-up and Manufacturing - Fabrication Chris Moody Fabrication Incubators Business incubators can be just office space with business services and advice or they can provide early manufacturing

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

DUPONT PERFORMANCE POLYMERS Joint Design: A Critical Factor in Strong Bonds GENERAL GUIDELINES FOR ULTRASONIC, VIBRATION AND SPIN WELDING

DUPONT PERFORMANCE POLYMERS Joint Design: A Critical Factor in Strong Bonds GENERAL GUIDELINES FOR ULTRASONIC, VIBRATION AND SPIN WELDING DUPONT PERFORMANCE POLYMERS Joint Design: A Critical Factor in Strong Bonds GENERAL GUIDELINES FOR ULTRASONIC, VIBRATION AND SPIN WELDING Introduction Welding techniques for assembling parts molded with

More information

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 Self-Assembled Polystyrene Micro-Spheres Applied for Photonic Crystals and Templates Fabrication Daniel S. Raimundo 1, Francisco J.

More information

Flex Circuit Design and Manufacture.

Flex Circuit Design and Manufacture. Flex Circuit Design and Manufacture. Hawarden Industrial Park, Manor Lane, Deeside, Flintshire, CH5 3QZ Tel 01244 520510 Fax 01244 520721 Sales@merlincircuit.co.uk www.merlincircuit.co.uk Flex Circuit

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

SCREEN PRINTING INSTRUCTIONS

SCREEN PRINTING INSTRUCTIONS SCREEN PRINTING INSTRUCTIONS For Photo-Imageable Solder Masks and Idents Type 5600 Two Part Solder Masks and Idents Mega Electronics Ltd., Mega House, Grip Industrial Estate, Linton, Cambridge, ENGLAND

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

CORROSION ENGINEERING RESIN-BASED POLYMER CONCRETES AND GROUTS

CORROSION ENGINEERING RESIN-BASED POLYMER CONCRETES AND GROUTS AN ERGONARMOR COMPANY TECHNICAL INFORMATION SPECIFICATION FOR INSTALLATION 07/11 SUPERSEDES 04/00 PAGE 1 OF 6 CORROSION ENGINEERING RESIN-BASED POLYMER CONCRETES AND GROUTS 1. SCOPE 1.1 This specification

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

WATERPROOFING OF REINFORCED CONCRETE FLAT ROOF 12

WATERPROOFING OF REINFORCED CONCRETE FLAT ROOF 12 WATERPROOFING OF REINFORCED CONCRETE FLAT ROOF 12 87 88 GOOD INDUSTRY PRACTICES 12 WATERPROOFING OF REINFORCED CONCRETE FLAT ROOF 12.1 BACKGROUND Most roofs in Singapore are constructed using reinforced

More information

Biomedical applications of polypyrrole microactuators: from single-cell clinic to microrobots

Biomedical applications of polypyrrole microactuators: from single-cell clinic to microrobots Biomedical applications of polypyrrole microactuators: from single-cell clinic to microrobots Edwin Jager, Charlotte Immerstrand, Karl-Eric Magnusson, Olle Inganäs and Ingemar Lundström Linköping University

More information

Micro-Power Generation

Micro-Power Generation Micro-Power Generation Elizabeth K. Reilly February 21, 2007 TAC-meeting 1 Energy Scavenging for Wireless Sensors Enabling Wireless Sensor Networks: Ambient energy source Piezoelectric transducer technology

More information

Electron Beam Technology for Pressure Sensitive Adhesive Applications

Electron Beam Technology for Pressure Sensitive Adhesive Applications Electron Beam Technology for Pressure Sensitive Adhesive Applications Introduction Stephen C. Lapin, Ph.D. PCT Engineered Systems LLC Davenport, IA, USA Initial reports on the use of ultraviolet (UV) and

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

Colorado State University. Durrell Center Roof Repair

Colorado State University. Durrell Center Roof Repair Colorado State University Durrell Center Roof Repair SPECIFICATION: POLYURETHANE FOAM ROOFING l.0 GENERAL REQUIREMENTS The successful application of a polyurethane foam roofing system is dependent upon

More information

1.1.2 Polypropylene The polypropylene must be a white opaque film, 1 2 mil thick. Biaxial orientation is preferred.

1.1.2 Polypropylene The polypropylene must be a white opaque film, 1 2 mil thick. Biaxial orientation is preferred. Library of Congress Preservation Directorate Specification Number 700 704 09 Specifications for Pressure Sensitive Adhesive Labels For Application to Single Paper Sheets and Text Pages of Bound Books For

More information

Solubility Curve of Sugar in Water

Solubility Curve of Sugar in Water Solubility Curve of Sugar in Water INTRODUCTION Solutions are homogeneous mixtures of solvents (the larger volume of the mixture) and solutes (the smaller volume of the mixture). For example, a hot chocolate

More information

Basic Properties and Application of Auto Enamels

Basic Properties and Application of Auto Enamels Basic Properties and Application of Auto Enamels Composition of Ceramic Automotive Glass Enamels Ceramic automotive glass colours are glass enamels that fire on to the glass during the bending process

More information

3M Scotch-Weld EPX Two-component structural adhesives and applicator guns

3M Scotch-Weld EPX Two-component structural adhesives and applicator guns M Scotch-Weld EPX Two-component structural adhesives and applicator guns Innovation What is a structural adhesive? M s high-strength structural adhesives are fundamentally load-bearing formulations. Bond

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor:

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor: Product or Process Modifications Requiring Limited CBTL Retesting to Maintain Certification This document sets forth a uniform approach to maintain the certification of products that have, or will, undergo

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Soft lithography for diffractive microfabrications

Soft lithography for diffractive microfabrications Soft lithography for diffractive microfabrications Liliana D Amico PhD Section: Materials Engineering XXVIII Cycle (3 Year) Mauro Casalboni, Fabio De Matteis, Paolo Prosposito, Roberta De Angelis Summary

More information

SMOOTHMOVE INSTRUCTIONS FURNITURE VAN EPOXY FLOOR FINISH KIT VOC-FREE - NO SOLVENT GENERAL INFORMATION KEY 87269AB

SMOOTHMOVE INSTRUCTIONS FURNITURE VAN EPOXY FLOOR FINISH KIT VOC-FREE - NO SOLVENT GENERAL INFORMATION KEY 87269AB SMOOTHMOVE INSTRUCTIONS FURNITURE VAN EPOXY FLOOR FINISH KIT VOC-FREE - NO SOLVENT GENERAL INFORMATION KEY 87269AB YOU ARE NOW GETTING READY TO USE SOLVENT FREE AND 100% SOLIDS HIGH GLOSS SMOOTHMOVE FOR

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

CYCLOTENE Advanced Electronics Resins (Photo BCB) Processing Procedures for 20µm Photo-BCB Layers Using XUS35078 type 3

CYCLOTENE Advanced Electronics Resins (Photo BCB) Processing Procedures for 20µm Photo-BCB Layers Using XUS35078 type 3 Processing Procedures CYCLOTENE Advanced Electronics Resins (Photo BCB) Processing Procedures for 20µm Photo-BCB Layers Using XUS35078 type 3 Regional Product Availability Introduction Spin Curves North

More information

Pulsed laser deposition of organic materials

Pulsed laser deposition of organic materials Pulsed laser deposition of organic materials PhD theses Gabriella Kecskeméti Department of Optics and Quantum Electronics University of Szeged Supervisor: Dr. Béla Hopp senior research fellow Department

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Experiment 5: Column Chromatography

Experiment 5: Column Chromatography Experiment 5: Column Chromatography Separation of Ferrocene & Acetylferrocene by Column Chromatography Reading: Mohrig, Hammond & Schatz Ch. 18 pgs 235-253 watch the technique video on the course website!

More information