Surface Analytical Services of the Surface Physics Laboratory, Technical University Budapest

Size: px
Start display at page:

Download "Surface Analytical Services of the Surface Physics Laboratory, Technical University Budapest"

Transcription

1 Surface Analytical Services of the Surface Physics Laboratory, Technical University Budapest Contact persons: Dr László Koocsányi, Tel.: , Dr Katalin V. Josepovits, Tel , fax:

2 Whether a single measurement or a comprehensive solution, the Surface Physics Laboratory is ready to assist you with your problems. Information about surfaces can be critical in understanding materials properties and interactions. We use techniques like SIMS, Auger and XPS to determine the composition and the chemical bonding of the top few angstroms to several microns of a material. The combined power of our varied techniques yields more complete answers. If you know which evaluation technique applies to your problem, we will conduct the analysis according to your request, and provide the data you need. Row data can be returned (e.g. for quality testing purposes) within 24 hours. If you are not sure of the approach to take, our staff will propose an analysis plan, and we can give you the added value of our expert interpretation. You can contract us on a case to case or on a yearly basis. We also offer expert consulting and assistance to enhance your understanding. If your problems or requirements are more complex, we offer fully integrated problem solving, in framework of a research contract. Problem Solving Trace elemental analysis Quality tests in manufacturing process Doping profile characterization Contamination tests Segregation, aggregation Adhesion Fracture Corrosion Heterogeneous catalysis Purity of starting materials Surface Analytical Tools AES XPS SIMS Surface Chemistry Bonding v Elemental Analysis v v Trace Elemental Analysis v Quantitative Dopant Measurements v Depth Profiling v v Imaging v Further possibilities: structure and morphology: Scanning electron microscope (SEM) phase and texture: X-ray diffractometry (XRD)

3 Secondary Ion Mass Spectrometry (SIMS) This method provides elemental surface analysis and depth profiling with great sensitivity for all elements (H and He as well). Characteristics: Sensitivity: 1ppm Depth resolution: 1-10 nm Static and dynamic SIMS Isotope abundance Conducting and insulating samples cps O + 52 Cr + 56 Fe + 48 Ti + 58 Ni + 28 Si + 60 Ni + 40 Ar + 72 FeO 68 CrO + Some Fields of Applications: Semiconductors Hydrogen and oxygen analysis Polymers Corrosion Metallurgy Interface characterization Thin film composition and microstructure m/e Positive spectrum of steel 1E+05 1E+04 1E+03 1E+02 1E+01 1E sputtering time [min] 12C- 16O- 24C2- Depth profile of a polycrystalline diamond layer on a silicon substrate Samples: Solid (metals, glass, semiconductors, ceramics, polymers) Size: ~ 1cm 2 Preparation: free of human contamination (use gloves when handling) Instrument Specifications: Primary ion (atom) gun: Balzers (1973): Ar + ions Ion Energy: kev Ion current: A Spot size: 3 mm VG Microtech EX05: Ar + ions, Ar atoms (for the analysis of insulators) Ion (atom) energy: kev Max. ion current: 2.5 μa Spot size (ions): 150 μm Max. scan area (ions): 2.5x2.5 mm Quadrupole mass spectrometer (mass range: 1-300amu, m/δm=150amu) Limitations: Quantification requires standards Lateral resolution Destructive

4

5 Auger Electron Spectroscopy (AES) This method allows elemental surface and depth profiling with submicron spatial resolution. Characteristics: Elemental surface analysis ( except H and He) Sensitivity: 1% Compositional depth profiles Line scans Elemental mapping (Scanning Auger Mode) Scanning electron imaging capabilities Some Fields of Applications: Semiconductors Corrosion Metallurgy Interface characterization Thin film composition and microstructure Lateral variation of composition Samples: Conducting solids Size: up to 2.5 cm 2 Preparation: free of human contamination (use gloves when handling) Instrument Specification: Electron gun: VG Microtech LEG200 Min. spot size: < 200 nm Energy: 0-10 kev Current: 3 μa Hemispherical electron energy analyser: VG Microtech CLAM2 Energy range: ev Secondary electron detector: Scintillator (VG Microtech) Hot stage for in-situ sample heating Ion beam etching for depth profile analysis Limitations: H and He undetectable Electron beam may cause damage in some samples Insulating samples are difficult to analyze

6 AES investigation of a metal layer structure evaporated on a Si substrate SEM image of the sample 300μm Elemental mapping (black areas are rich in Si) Auger line scan of Ni peak (848 ev) Elemental mapping (dark areas are rich in Ni)

7 X-ray Photoelectron Spectroscopy (XPS) This is a surface-sensitive chemical analysis technique that provides straightforward data interpretation and chemical bonding information. Characteristics: Elemental surface analysis (except H) Sensitivity: 0.1% Chemical bonding identification Compositional depth profiles Minimal sample damage Some Fields of Applications: Semiconductors Determination of oxidation states Polymer chemistry Organic monolayers Corrosion Metallurgy Interface characterization Surface diffusion and reactions Wide scan spectrum of SrTiO 3 Samples: Solid (metals, glass, semiconductors, ceramics, polymers) Size: up to 2.5 cm 2 Preparation free of human contamination (use gloves when handling) Instrument Specifications: X-ray source: VG Microtech XR3E2 (300W) Twin anode: AlKα ( ev), MgKα ( ev) Hemispherical electron energy analyser: VG Microtech CLAM2 Energy range: ev Resolution: 0.85 ev (at Count Rate) 1.5 ev (at Count Rate) Hot stage for in-situ sample heating Ion beam etching for depth profile analysis Limitations: No lateral mapping Elemental H undetectable

8 Examples Heat treatment optimisation of ohmic and Schottky contacts based on the results of SIMS and AES measurements In VLSI circuits better ohmic and Schottky contacts can be achieved by using Pt-Si instead of Al metallization. Platinum layers deposited onto Si substrate had to be annealed. The optimum procedure could be found by checking the composition and bonding after heat treatment by SIMS and AES. The measurements have shown that if the sample was annealed at 450 C in H 2, good quality Pt-Si was achieved. On the other hand, in samples heat treated in O 2, an oxygen rich Pt layer was found on top of the Pt-Si layer. Material selection for gas sensor on the basis of corrosion stability, based on AES depth profiling and lateral element distribution Different semiconducting oxides in view for gas sensing application were aged at high temperature in different corrosive gases for prolonged times. The composition change due to corrosion was detected by AES depth profiling and AES lateral element distribution. It was found that SrTiO 3 is attacked by Cl 2 and SO 2, Ga 2 O 3 only by Cl 2 and CeO 2 is resistant to all gases examined (Cl 2, NO 2, SO 2, H 2 S, H 2 and CO). Optimisation of the solvent removal process in the production of capacitors of solid electrolyte During production different additives are used to enhance the pressability of the starting porous material. It was shown with AES, SIMS and XPS analyses that the remnants of these additives are responsible for failure in the produced capacitors. The conditions for solvent removal from porous bodies with different grain sizes were determined to optimise production, using the data provided by the compositional analysis after various steps of the process. Effect of parameter settings on the deposition of magneto-optical information storage layer structures, as studied by AES and SIMS. Magneto-optical (MO) data storage combines the major advantages of magnetic and optical recording technologies. TbFeCo layers were deposited onto glass substrates from a TbFeCo alloy target by magnetron sputtering. Examining the effect of the magnetron sputtering parameter settings on the layer composition by SIMS and AES measurements, we have found that increasing Ar pressure makes the coercitive force, the thickness and the Tb/Fe ratio increase. In situ observation of chemical reactions and transport on surfaces under simulated process conditions Work function lowering dopants must be replenished at the hot end of a cathode from a buffer oxide on the cool end in a discharge lamp. In optimising the process, the critical temperatures for diffusion and evaporation have to be known, and the chemical reactions understood. In situ XPS, AES investigations carried out in the framework of a research project - provided the necessary data for our contractor. Our partners: GE Lighting Tungsram Rt (Budapest, H), Siemens Matsushita Components GmbH & Co. KG (Heidenheim, D), Siemens AG, ZT KM 2 (München, D), Alcoa-Köfém Kft. (Székesfehérvár, H), Csepeli Fémmű Rt. (Budapest, H), Siemens Rt. Elektromechanical Components, Power Modules (Bicske, H), Zoltek Magyar Viscosa Rt (Nyergesújfalu, H), Videoton Holding (Székesfehérvár, H), Siemens AG AUT GT34 (Karlsruhe, D), Steinel AG (Einsiedeln, Ch) and a number of Hungarian SMEs.

9 UP TO DATE FACILITIES Our complex equipment makes in situ or quasi in situ XPS and AES measurements possible during simulated technological process steps (controlled exposure to heat, gases, plasma, ion irradiation).

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser V.Nassisi #, G.Caretto #, A. Lorusso #, D.Manno %, L.Famà %, G.Buccolieri %, A.Buccolieri %, U.Mastromatteo* # Laboratory of Applied

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE CHARACTERIZATION

NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE CHARACTERIZATION Rev.Adv.Mater.Sci. Nanostructured ZnO 10 and (2005) ZAO 335-340 transparent thin films by sputtering surface characterization 335 NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

PHYSICAL METHODS, INSTRUMENTS AND MEASUREMENTS Vol. III - Surface Characterization - Marie-Geneviève Barthés-Labrousse

PHYSICAL METHODS, INSTRUMENTS AND MEASUREMENTS Vol. III - Surface Characterization - Marie-Geneviève Barthés-Labrousse SURFACE CHARACTERIZATION Marie-Geneviève Centre d Etudes de Chimie Métallurgique, CNRS, Vitry-sur-Seine, France Keywords: Surface Analysis, Surface imaging, Surface composition, Surface chemical analysis,

More information

THIN FILM MATERIALS TECHNOLOGY

THIN FILM MATERIALS TECHNOLOGY THIN FILM MATERIALS TECHNOLOGY Sputtering of Compound Materials by Kiyotaka Wasa Yokohama City University Yokohama, Japan Makoto Kitabatake Matsushita Electric Industrial Co., Ltd. Kyoto, Japan Hideaki

More information

QGA Quantitative Gas Analyser

QGA Quantitative Gas Analyser QGA Quantitative Gas Analyser A compact bench-top system for real-time gas and vapour analysis Detailed product information / introduction catalysis studies environmental gas analysis fermentation off-gas

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS Trends Biomater. Artif. Organs. Vol. 17(2) pp 43-47 (2004) http//www.sbaoi.org BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS N. Ramesh Babu*,+, Sushant Manwatkar*, K. Prasada Rao* and T. S. Sampath

More information

GD-Profiler Series RF Glow Discharge Optical Emission Spectrometers

GD-Profiler Series RF Glow Discharge Optical Emission Spectrometers GD-Profiler Series RF Glow Discharge Optical Emission Spectrometers For many applications, it is essential to know the chemical composition of a material, both at the surface, at the interfaces and in

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Machbarkeitsstudie zu geeigneten Kandidaten für f r die Herstellung von CRM für r die Bestimmung von Wasserstoff in Festkörpern

Machbarkeitsstudie zu geeigneten Kandidaten für f r die Herstellung von CRM für r die Bestimmung von Wasserstoff in Festkörpern BAM mission: Safety in technology and chemistry Machbarkeitsstudie zu geeigneten Kandidaten für f r die erstellung von CRM für r die Bestimmung von Wasserstoff in Festkörpern V.-D. odoroaba, a D. Klemm,

More information

Nanoelectronics 09. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture

Nanoelectronics 09. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture Nanoelectronics 09 Atsufumi Hirohata Department of Electronics 12:00 Wednesday, 4/February/2015 (P/L 006) Quick Review over the Last Lecture ( Field effect transistor (FET) ): ( Drain ) current increases

More information

A thermal cure is then applied to obtain final properties of the paint film.

A thermal cure is then applied to obtain final properties of the paint film. CHARACTERIZATION OF OXIDE LAYERS FORMED ON ALUMINUM ALLOYS DURING NEW PPG ELECTRODEPOSITED STRUCTURAL PAINT ECODESIGN - GRANT AGREEMENT N 267285 Dr Marion Collinet Ecole Nationale Supérieure de Chimie

More information

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R.

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R. The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging Jacob R. Bowen Contents Components of a FIB-SEM Ion interactions Deposition & patterns

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Dr Marcin Adamiak marcin.adamiak. www.imiib.polsl.pl/

Dr Marcin Adamiak marcin.adamiak. www.imiib.polsl.pl/ FP7 NMP/INCO Brokerage Event Warsaw, 17-18 September 2009 Dr Marcin Adamiak marcin.adamiak adamiak@polsl.pl http://www.imiib.polsl.pl www.imiib.polsl.pl/ Institute of Engineering Materials and Biomaterials

More information

SIMS DEPTH PROFILING OF THIN NITRIDE- AND CARBIDE-BASED FILMS FOR HARD COATING

SIMS DEPTH PROFILING OF THIN NITRIDE- AND CARBIDE-BASED FILMS FOR HARD COATING SIMS Rev.Adv.Mater.Sci. depth profiling 15(2007) of thin nitride 253258 and carbidebased films for hard coating 253 SIMS DEPTH PROFILING OF THIN NITRIDE AND CARBIDEBASED FILMS FOR HARD COATING M. Cwil

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Scanning Electron Microscopy Services for Pharmaceutical Manufacturers

Scanning Electron Microscopy Services for Pharmaceutical Manufacturers Scanning Electron Microscopy Services for Pharmaceutical Manufacturers Author: Gary Brake, Marketing Manager Date: August 1, 2013 Analytical Testing Laboratory www.atl.semtechsolutions.com Scanning Electron

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

ESRF Upgrade Phase II: le nuove opportunitá per le linee da magnete curvante

ESRF Upgrade Phase II: le nuove opportunitá per le linee da magnete curvante LUCI DI SINCROTRONE CNR, ROMA 22 APRILE 2014 ESRF Upgrade Phase II: le nuove opportunitá per le linee da magnete curvante Sakura Pascarelli sakura@esrf.fr Page 2 INCREASE IN BRILLIANCE H emittance V emittance

More information

Impurity-doped ZnO Thin Films Prepared by Physical Deposition Methods Appropriate for

Impurity-doped ZnO Thin Films Prepared by Physical Deposition Methods Appropriate for Home Search Collections Journals About Contact us My IOPscience Impurity-doped ZnO Thin Films Prepared by Physical Deposition Methods Appropriate for Transparent Electrode Applications in Thin-film Solar

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Steve Harris. UC Berkeley

Steve Harris. UC Berkeley Li Ion Batteries Steve Harris General MotorsR&D UC Berkeley 1 Outline Li battery basics Samples from my research What are the problems? Whither h Li batteries? 2 Some Basics AB + C A + BC G 3 Some Basics

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

Diffusion effect of intermetallic layers on adhesion and mechanical properties of electrical contacts

Diffusion effect of intermetallic layers on adhesion and mechanical properties of electrical contacts Diffusion effect of intermetallic layers on adhesion and mechanical properties of electrical contacts Abstract Multilayer thin films are used as metallic contacts or relays in microelectromechanical systems

More information

bulk 5. Surface Analysis Why surface Analysis? Introduction Methods: XPS, AES, RBS

bulk 5. Surface Analysis Why surface Analysis? Introduction Methods: XPS, AES, RBS 5. Surface Analysis Introduction Methods: XPS, AES, RBS Autumn 2011 Experimental Methods in Physics Marco Cantoni Why surface Analysis? Bulk: structural function Electrical/thermal conduction Volume increases

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Chapter 5: Diffusion. 5.1 Steady-State Diffusion

Chapter 5: Diffusion. 5.1 Steady-State Diffusion : Diffusion Diffusion: the movement of particles in a solid from an area of high concentration to an area of low concentration, resulting in the uniform distribution of the substance Diffusion is process

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

EDXRF of Used Automotive Catalytic Converters

EDXRF of Used Automotive Catalytic Converters EDXRF of Used Automotive Catalytic Converters Energy Dispersive X-Ray Fluorescence (EDXRF) is a very powerful technique for measuring the concentration of elements in a sample. It is fast, nondestructive,

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

ORIENTATION CHARACTERISTICS OF THE MICROSTRUCTURE OF MATERIALS

ORIENTATION CHARACTERISTICS OF THE MICROSTRUCTURE OF MATERIALS ORIENTATION CHARACTERISTICS OF THE MICROSTRUCTURE OF MATERIALS K. Sztwiertnia Polish Academy of Sciences, Institute of Metallurgy and Materials Science, 25 Reymonta St., 30-059 Krakow, Poland MMN 2009

More information

Preface Light Microscopy X-ray Diffraction Methods

Preface Light Microscopy X-ray Diffraction Methods Preface xi 1 Light Microscopy 1 1.1 Optical Principles 1 1.1.1 Image Formation 1 1.1.2 Resolution 3 1.1.3 Depth of Field 5 1.1.4 Aberrations 6 1.2 Instrumentation 8 1.2.1 Illumination System 9 1.2.2 Objective

More information

Pesticide Analysis by Mass Spectrometry

Pesticide Analysis by Mass Spectrometry Pesticide Analysis by Mass Spectrometry Purpose: The purpose of this assignment is to introduce concepts of mass spectrometry (MS) as they pertain to the qualitative and quantitative analysis of organochlorine

More information

High Density Ceramic TCO Sputtering Targets. Indium Tin Oxide (ITO) for deposition of transparent conductive oxide layers

High Density Ceramic TCO Sputtering Targets. Indium Tin Oxide (ITO) for deposition of transparent conductive oxide layers High Density Ceramic TCO Sputtering Targets Indium Tin Oxide (ITO) for deposition of transparent conductive oxide layers High Density Ceramic TCO Sputtering Targets: Indium Tin Oxide (ITO) Rotary ceramic

More information

Lecture 9. Surface Treatment, Coating, Cleaning

Lecture 9. Surface Treatment, Coating, Cleaning 1 Lecture 9. Surface Treatment, Coating, Cleaning These processes are sometimes referred to as post-processing. They play a very important role in the appearance, function and life of the product. Broadly,

More information

Deposition of Thin Metal Films " (on Polymer Substrates)!

Deposition of Thin Metal Films  (on Polymer Substrates)! Deposition of Thin Metal Films " (on Polymer Substrates)! Shefford P. Baker! Cornell University! Department of Materials Science and Engineering! Ithaca, New York, 14853! MS&E 5420 Flexible Electronics,

More information

Modern approaches to determination of toxic metals in marine environmental objects. Atomic absorption and inductively coupled plasma, advantages and

Modern approaches to determination of toxic metals in marine environmental objects. Atomic absorption and inductively coupled plasma, advantages and Modern approaches to determination of toxic metals in marine environmental objects. Atomic absorption and inductively coupled plasma, advantages and disadvantages Atomic spectroscopy Atomic spectroscopy

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

. Tutorial #3 Building Complex Targets

. Tutorial #3 Building Complex Targets . Tutorial #3 Building Complex Targets. Mixed Gas/Solid Targets Gas Ionization Chamber Previous Tutorials have covered how to setup TRIM, determine which ion and energy to specify for a semiconductor n-well

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting 3G Solar Technologies Multidisciplinary Workshop MRS Spring Meeting San Francisco, CA, 5 April 2010 Michael P.

More information

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium 90% added energy However can reaction can chemically

More information

North American Stainless

North American Stainless North American Stainless Flat Products Stainless Steel Grade Sheet 310S (S31008)/ EN 1.4845 Introduction: SS310 is a highly alloyed austenitic stainless steel designed for elevated-temperature service.

More information

Dew-Point Measurement Solutions

Dew-Point Measurement Solutions Dew-Point Measurement Solutions www.michell.com Dew-Point Measurement Solutions Special Features No downtime Michell Instruments offers a unique sensor exchange programme, which means that you will never

More information

XFA 600 Thermal Diffusivity Thermal Conductivity

XFA 600 Thermal Diffusivity Thermal Conductivity XFA 600 Thermal Diffusivity Thermal Conductivity Thermal Diffusivity, Thermal Conductivity Information of the thermo physical properties of materials and heat transfer optimization of final products is

More information

CH3 Stoichiometry. The violent chemical reaction of bromine and phosphorus. P.76

CH3 Stoichiometry. The violent chemical reaction of bromine and phosphorus. P.76 CH3 Stoichiometry The violent chemical reaction of bromine and phosphorus. P.76 Contents 3.1 Counting by Weighing 3.2 Atomic Masses 3.3 The Mole 3.4 Molar Mass 3.5 Percent Composition of Compounds 3.6

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

NAWTEC16-1916. Proceedings of NAWTEC16 16th Annual North American Waste-to-Energy Conference May 19-21, 2008, Philadelphia, Pennsylvania, USA

NAWTEC16-1916. Proceedings of NAWTEC16 16th Annual North American Waste-to-Energy Conference May 19-21, 2008, Philadelphia, Pennsylvania, USA Proceedings of NAWTEC16 16th Annual North American Waste-to-Energy Conference May 19-21, 8, Philadelphia, Pennsylvania, USA NAWTEC16-1916 The effects of varied hydrogen chloride gas concentrations on corrosion

More information

Lecture 35: Atmosphere in Furnaces

Lecture 35: Atmosphere in Furnaces Lecture 35: Atmosphere in Furnaces Contents: Selection of atmosphere: Gases and their behavior: Prepared atmospheres Protective atmospheres applications Atmosphere volume requirements Atmosphere sensors

More information

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007 DOE Solar Energy Technologies Program Peer Review Evaluation of Nanocrystalline Silicon Thin Film by Near-Field Scanning Optical Microscopy AAT-2-31605-05 Magnus Wagener and George Rozgonyi North Carolina

More information

Institute s brochure. Microstructure Analysis, Metallography and Mechanical Testing of Materials. Institute of Materials Research

Institute s brochure. Microstructure Analysis, Metallography and Mechanical Testing of Materials. Institute of Materials Research Institute s brochure Microstructure Analysis, Metallography and Mechanical Testing of Materials Institute of Materials Research Micro structure Analysis and Metallography is one of the core teams of the

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Solid State Detectors = Semi-Conductor based Detectors

Solid State Detectors = Semi-Conductor based Detectors Solid State Detectors = Semi-Conductor based Detectors Materials and their properties Energy bands and electronic structure Charge transport and conductivity Boundaries: the p-n junction Charge collection

More information

Basic Properties and Application of Auto Enamels

Basic Properties and Application of Auto Enamels Basic Properties and Application of Auto Enamels Composition of Ceramic Automotive Glass Enamels Ceramic automotive glass colours are glass enamels that fire on to the glass during the bending process

More information

3. What would you predict for the intensity and binding energy for the 3p orbital for that of sulfur?

3. What would you predict for the intensity and binding energy for the 3p orbital for that of sulfur? PSI AP Chemistry Periodic Trends MC Review Name Periodic Law and the Quantum Model Use the PES spectrum of Phosphorus below to answer questions 1-3. 1. Which peak corresponds to the 1s orbital? (A) 1.06

More information

How To Implant Anneal Ion Beam

How To Implant Anneal Ion Beam ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Ion Implant Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Oberflächenbearbeitung durch reaktive Ionenstrahlen

Oberflächenbearbeitung durch reaktive Ionenstrahlen Oberflächenbearbeitung durch reaktive Ionenstrahlen André Mießler, Thomas Arnold Leibniz-Institut für Oberflächenmodifizierung e. V. Permoserstr. 15, D-04318 Leipzig andre.miessler@iom-leipzig.de www.iom-leipzig.de

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Portable X-ray fluorescence Spectroscopy. Michael A. Wilson Research Soil Scientist USDA-NRCS National Soil Survey Center Lincoln, NE

Portable X-ray fluorescence Spectroscopy. Michael A. Wilson Research Soil Scientist USDA-NRCS National Soil Survey Center Lincoln, NE Portable X-ray fluorescence Spectroscopy Michael A. Wilson Research Soil Scientist USDA-NRCS National Soil Survey Center Lincoln, NE OBJECTIVES Background of the method Features of the instrument Applications

More information

AMD Analysis & Technology AG

AMD Analysis & Technology AG AMD Analysis & Technology AG Application Note 120419 Author: Karl-Heinz Maurer APCI-MS Trace Analysis of volatile organic compounds in ambient air A) Introduction Trace analysis of volatile organic compounds

More information

Mass Spectrometry. Overview

Mass Spectrometry. Overview Mass Spectrometry Overview Mass Spectrometry is an analytic technique that utilizes the degree of deflection of charged particles by a magnetic field to find the relative masses of molecular ions and fragments.2

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

Anodes and Misc Equipment

Anodes and Misc Equipment Anodes and Misc Equipment Application: Platinised Titanium Anodes Platinised titanium anodes are recommended for use in the following electrolytic processes:- Precious metal electroplating - e.g. Au, Pt,

More information

THERMO NORAN SYSTEM SIX ENERGY DISPERSIVE X- RAY SPECTROMETER. Insert Nickname Here. Operating Instructions

THERMO NORAN SYSTEM SIX ENERGY DISPERSIVE X- RAY SPECTROMETER. Insert Nickname Here. Operating Instructions THERMO NORAN SYSTEM SIX ENERGY DISPERSIVE X- RAY SPECTROMETER Insert Nickname Here Operating Instructions Table of Contents 1 INTRODUCTION Safety 1 Samples 1 2 BACKGROUND Background Information 3 References

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Glancing XRD and XRF for the Study of Texture Development in SmCo Based Films Sputtered Onto Silicon Substrates

Glancing XRD and XRF for the Study of Texture Development in SmCo Based Films Sputtered Onto Silicon Substrates 161 162 Glancing XRD and XRF for the Study of Texture Development in SmCo Based Films Sputtered Onto Silicon Substrates F. J. Cadieu*, I. Vander, Y. Rong, and R. W. Zuneska Physics Department Queens College

More information

Effect of the oxide film formed on the electrical properties of Cu-Zn alloy electric contact material

Effect of the oxide film formed on the electrical properties of Cu-Zn alloy electric contact material Effect of the oxide film formed on the electrical properties of Cu-Zn alloy electric contact material Hao-Long Chen *, Ke-Cheng Tseng and Yao-Sheng Yang Department of Electronic Engineering, Kao Yuan University,

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

Chapter 4 Indium Tin Oxide Films Deposited by d.c. Sputtering

Chapter 4 Indium Tin Oxide Films Deposited by d.c. Sputtering Chapter 4 Indium Tin Oxide Films Deposited by d.c. Sputtering 4.1. Introduction Indium-tin-oxide (ITO) thin films are widely used in optoelectronics devices, flat panel display and electrochromic (EC)

More information

Optical Properties of Thin Film Molecular Mixtures

Optical Properties of Thin Film Molecular Mixtures Optical Properties of Thin Film Molecular Mixtures Donald A. Jaworske NASA Glenn Research Center 2 Brookpark Road Cleveland, OH 4435 e-maih Donald. A.J aworske((_grc.nasa.gov Dean A. Shumway Brigham Young

More information

Sergey L. Belopukhov Department of Inorganic and Analytical Chemistry. K.A.Timirjazev Moscow Agricultural Academy. E-mail: belopuhov@imail.

Sergey L. Belopukhov Department of Inorganic and Analytical Chemistry. K.A.Timirjazev Moscow Agricultural Academy. E-mail: belopuhov@imail. Thematic Division: Physico-Chemical Studies. Full Paper Subdivision: Chemical Technology. Registration Code of Publication: po34 Note: The previous communication of this series see in Butlerov Communications

More information

PERIODIC TABLE OF GROUPS OF ELEMENTS Elements can be classified using two different schemes.

PERIODIC TABLE OF GROUPS OF ELEMENTS Elements can be classified using two different schemes. 1 PERIODIC TABLE OF GROUPS OF ELEMENTS Elements can be classified using two different schemes. Metal Nonmetal Scheme (based on physical properties) Metals - most elements are metals - elements on left

More information

Use the BET (after Brunauer, Emmett and Teller) equation is used to give specific surface area from the adsorption

Use the BET (after Brunauer, Emmett and Teller) equation is used to give specific surface area from the adsorption Number of moles of N 2 in 0.129dm 3 = 0.129/22.4 = 5.76 X 10-3 moles of N 2 gas Module 8 : Surface Chemistry Objectives Lecture 37 : Surface Characterization Techniques After studying this lecture, you

More information

Using the PDF for material identification using elemental data. from XRF and SEM EDS.

Using the PDF for material identification using elemental data. from XRF and SEM EDS. XRF and SEM EDS Using the PDF for material identification using elemental data from XRF and SEM EDS. XRF and SEM EDS What? The Powder Diffraction File contains data on pure solid state compounds of well

More information