CS152A / EE116L. LAB 1: Introduction to the Breadboard, Wiring, and 7400 Logic Chips

Size: px
Start display at page:

Download "CS152A / EE116L. LAB 1: Introduction to the Breadboard, Wiring, and 7400 Logic Chips"

Transcription

1 CS152A / EE116L LAB 1: Introduction to the Breadboard, Wiring, and 7400 Logic Chips Purpose: This experiment is an introduction to components and equipment used throughout the term. Introduction: You will check an experiment board ("Breadboard"), check the action of several IC chips, design and build a simple sequential circuit. You will also learn how to create a clean clock signal that will be heavily used in future. Part 1: The Breadboard This device consists of three major parts: a ProtoBoard (Global Specialties PB- 105), an Altera UP-1 EPLD Experimenter's Board and a PC Interface Board (now used only for its four SPDT switches). The Altera UP-1 Board: This board is mounted at the upper right of the Experiment Board. It contains a number of switches, indicator lights, connectors and two Complex Programmable Devices. For the Lab 1 we will use only the indicator lights and the IC switches at the right edge of the board. Check the circuit diagrams for the connections. Note that the indicator lights will illuminate when a LOW voltage is applied to their input pins. The PC Interface Board: This device was designed to operate with a PC DOS program. It is to be connected to the PC parallel port via a ribbon cable. The board provides connections to the PC parallel port for outputting 8 bits of data from the PC to the Interface board, for inputting 8 bits of data to the PC, a CLOCK connection, and power for your experiment. The DOS software is no longer available, and this board is now used only for the four Single Pole Double Throw (SPDT) switches on the left side of this board. Note that VCC and Ground are provided on banana terminals. Use these connections for powering your ICs, which you will wire on the breadboard area. The source of the power for your connections (VCC and GND) is brought from the Altera Board. Since the PC power supply needs to be protected from wiring errors, such as direct shorts, the VCC power is fused. If no power is available, check for a blown fuse. There are three multi-pin connectors on this board. Connections to the PC were through the top connector, used for an 80-pin ribbon cable. This cable connection is not used in our lab experiments any more. The second connector block from the top has connections, to four SPDT pushbutton switches. The connections are (numbered left to right): Switch 1 (top): Pin 2 is the Center Pin; Pin 1 is Normally Closed (NC), Pin 3 is Normally Open (NO). Pin 4 is not connected.

2 Switch 2 (left): Pin 6 is the Center Pin; Pin 5 is Normally Closed (NC), Pin 7 is Normally Open (NO). Pin 8 is not connected. Switch 3 (bottom): Pin 10 is the Center Pin; Pin 9 is Normally Closed (NC), Pin 11 is Normally Open (NO). Pin 12 is not connected. Switch 4 (right): Pin 14 is the Center Pin; Pin 13 is Normally Closed (NC), Pin 15 is Normally Open (NO). Pin 16 is not connected. Depressing the push buttons changes the NO, NC connections. The bottom connector has connections for PC-to-Board signals, and connectors for Board-to-PC signals (8 each.) This connector is not used in our lab experiments. The ProtoBoard: This unit consists of a great many connectors arranged so that IC wiring may be accomplished with SHORT lengths of wire. The basic unit is five connectors wired together, out of sight, under the white Nylon covering. The spacing of the receptacles is 0.1 inch, standard spacing for IC 7400-series chips. A depression groove between sets of 5-pin groups indicates where you can mount a standard chip so that it straddles the depressed groove; then you may make connections to each pin as required. Paralleling the groove, at the sides of the Nylon blocks, there are additional groups of connectors, which are usually used for power and ground distribution. Remember to connect the Power and Ground buses to the banana connectors on the Interface Board. Be careful with the polarity! The ProtoBoard is used for connecting various ICs for your experiments. Power to the Experiment Board is supplied from a 5VDC 1.5A power adaptor. The adaptor supplies power directly to the UP-1 board and to the banana terminals on the Experiment Board. Note that the +5V terminal is fused as a precaution. Notes: Part 2: 74xx Chips 1. You should check out the switch connections and verify that you have +5V power. 2. In this experiment you will be using 74XX chips. They need power to operate. Check power connections carefully BEFORE you apply power to the board (turn off the power switch or unplug the power adapter when you wire the chips.) 3. You should get a wire cutter/stripper to make your wiring connections. Keep your wires short. 4. You may use a Logic Probe to check logic levels in your circuits. A Logic Probe is a convenience in checking 7400 circuit chips; however, it is usually too clumsy to check Surface Mount connections (such as the connections to the FLEX chip on the Altera board.) 5. The lab experiments are designed so that you need not use a Logic Probe. The indicator lights on the UP-1 hoard can be used for checking the logic levels on the chips. Keep you wiring neat, so that you can easily follow them 6. Don't expect to have your circuits always work on the first try. Expect wiring errors, bad chips, loose connections and troubles with the breadboard (broken wires in the holes, and under tile holes). Usually anything that can go wrong will

3 do so, at the least expected moment, and while you arc trying to demonstrate your work. You will also find that this statement is optimistic. Be careful! 7. Trouble-shoot your work in pieces. A completed design is more difficult to check once it is completed. 8. Turn the power OFF when you wire your circuits. Have one lab partner do the wiring, the other to check the connections, BEFORE power is turned ON. (1) Turn OFF the power to your ProtoBoard. Take a 7432 chip, and install it on your board. Make the proper power connections. Power the board ON. Test the action of the chip, and complete the Truth Table. You need to test only one of the four identical gates on the chip. (2) Turn the power OFF. Install a 7400 chip, similar to what you did in (1). Complete the Truth Table as before. Again, you need to test only one of the four identical circuit parts. (3) Wire the following circuit, with a 7400 and a 7486: Measure the output values (HIGH or LOW, l or 0) and complete the truth Table What is the function of this circuit? (4) Test the action of a 7474 D-Flip-Flop. This can be done with the indicator lights on the Altera board, or with a Logic Probe. You need to test only one of the two identical circuits on the 7474 chip. Note the actions of the PRESET and CLEAR inputs. Are these synchronous or asynchronous functions? Note the action of the clock relative to input D. When is the Flip -Flop set? What happens if the inputs are changed during the LOW period of the CLOCK? What

4 happens if the inputs are changed during the HIGH period of the CLOCK? When is the value of DATA (i.e. the state of the input) captured by the 7474? Look at Sec. 8.3 of Ercegovac et al for a description of D Flip -Plops. What kind of device is the 7474 chip? (5) Design a circuit which has two inputs: a signs MOOD and a free-running CLOCK and produces an output HIGH when the MOOD changes polarity, Note that MOOD changes slowly, and the free running CLOCK runs much faster. In this experiment YOU are the free -running CLOCK and should put out several (many) pulses before yon change the polarit y of MOOD. In essence you are asked to build a "change of MOOD" detector. This detector is a sequential machine. There are two types of such machine: Mealy and Moore. Read the MAX+PLUSII Help files for Mealy and for Moore machines. What is/are the salient difference/s? Design one of each of these. You need to wire and test only one of your designs. Note on 74xx chips The 700 series of Digital Logic Chips are realizations using Dual In-Line Packaged circuits with standardized supply voltage (Vcc = nominal 5.V) and specified input/output electrical characteristics. There are many different realizations, differing in power consumption, propagation times, and drive capabilities. Basically each package is capable of supplying input current to (and take negative current from) TEN similar devices of the same family of circuits. An example is the 7404 Hex Inverter: Family Power Dissipation t p max t T max I in max I out max 7404 TTL 22ns 33ma 74L04 Low -Power TTL 60ns 3ma 74LS04 Low-Power Schottky 35mW 15ns -0.4/0.1 ma -0.4/8.0 ma 74S04 Schottky 4.5ns 54ma 74HC04 High-Speed CMOS 750mW 95ns ±1uA 25ma t p : Propagation time, Input to Output; t T :Output Transition Time When the 7404 part is used in MAX2PLUS, the logic function is used, and the electrica l characteristics (particularly t p ) is the basic gate timing of the Altera chip in the implemented design. Parts List: 7400 Quad 2-input NAND 7402 Quad 2-input NOR 7432 Quad 2 input OR 7474 Dual D-Flip-flop 7486 Quad 2-input XOR #24 AWG Insulated Hook-up Wire

5 Part 3: The Clean Clock Mechanical switches such as the ones used on the Alters UP-1 Board, do not provide a clean switching action. Instead of making or breaking a clean connection, they bounce. Hence, the contact is not a clean OFF/ON connection, but rather a series of brief contact connections that may last several milliseconds. See the copy of the attached report from lab measurements. Measured maximum duration of bounces of the switches on the UP-1 board were around 6 ms. Note that the circuitry in the FPGA operates in nanoseconds, hence great attention must be paid to provide switching signals for use in the FPGAs that have clean ON/OFF characteristics. Such a signal is called a "Clean Clock." There is a 25 MHz on-board clock on the UP-1 board. Events occurring with this signal are much too fast to be observed. Hence you will test your designs with clock signals that you operate manually. You must then haves Clean Clock. There are several ways to provide a Clean Clock signal. It is suggested that you use a clock signal produced with a Flip -flop whose output' is controlled from its asynchronous Clear and Set pins. Method 1: Use a 7474 D Flip-flop IC chip and a Single-Pole, Double -Throw Switch with Pull-up resistors. The asynchronous control pins CLEAR and SET of a flip-flop will set the output of the device into the LOW or HIGH voltage states they are momentarily connected to LOW. Since we use positive logic, HIGH corresponds to the supply voltage (+5V) and LOW is GROUND. An SPDT switch will transfer contact from a middle (input) contact to either of two output contacts. Of course, the contact being made will bounce as the switch mechanism moves. However, if the output contacts are wired to the CLEAR, and SET terminals of a flip -flop (in this case a D Flip-flop), then the output of the flop-flop will change once, depending on the momentary LOW voltage applied to either asynchronous terminal, and remains in its new state as long as no LOW s applied to the opposite asynchronous terminal. Hence normal switch bouncing is tolerated. Connect the center of the SPDT switch to GND and the outputs of the switch to SET and CLEAR. To assure a good HIGH value when the switch is not making a contact to either SET or CLEAR, use two resistors to connect SET and CLEAR to HIGH (+5 Volts). The value of this resistor is not critical. It must be larger than zero (else that will blow the fuse - why?) and less than infinity (that is tantamount to an open circuit for the default HIGH values). Any value from 2 k-ohms to 30 k-ohms is workable. Method 2: Use a 7474 D Flip-flop IC chip and a Single -Pole Double-Throw Switch without Pull-up resistors. The pull-up resistors MAY not be needed since the SET and CLEAR inputs of the 7474 chip should float to a HIGH value. You are now relying on the flip-flop internal circuit actions, which may be very slow. Method 3: Use a D Flip-flop in the FPGA chip and a Single -Pole Double-Throw Switch with Pull-up resistors. Essentially you replace the 7474 chip with a DFF inside the FPGA. A refinement would be to wire the DFF as a latch to hold the last output value. See the attached circuit diagrams.

6 Method 4: Use a D Flip-flop in the FPGA chip and a Single -Pole Double-Throw Switch without Pull-up resistors. This consists of omitting the external resistors. Again, you are relying on internal circuit parameters, as in Method 2, which may be too slow. Method 5: Use a counter in the FPGA chip and a Single-Pole, Single-Throw Switch with or without Pull-up resistors. A counter is started when the input: signal changes, and after a 10 ms delay the input is connected to the output. Using the 40 us clock on the UP-1 board, you need about 18 stages in your binary counter(why?). This method is shown in the Altera design examples, but it is a complex solution. However, it needs only a single-pole switch, such as the pushbutton switches on the UP-1 board.

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

The components. E3: Digital electronics. Goals:

The components. E3: Digital electronics. Goals: E3: Digital electronics Goals: Basic understanding of logic circuits. Become familiar with the most common digital components and their use. Equipment: 1 st. LED bridge 1 st. 7-segment display. 2 st. IC

More information

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop.

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. Objectives Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. describe how such a flip-flop can be SET and RESET. describe the disadvantage

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information

CS311 Lecture: Sequential Circuits

CS311 Lecture: Sequential Circuits CS311 Lecture: Sequential Circuits Last revised 8/15/2007 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

Decimal Number (base 10) Binary Number (base 2)

Decimal Number (base 10) Binary Number (base 2) LECTURE 5. BINARY COUNTER Before starting with counters there is some vital information that needs to be understood. The most important is the fact that since the outputs of a digital chip can only be

More information

Upon completion of unit 1.1, students will be able to

Upon completion of unit 1.1, students will be able to Upon completion of unit 1.1, students will be able to 1. Demonstrate safety of the individual, class, and overall environment of the classroom/laboratory, and understand that electricity, even at the nominal

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB OBJECTIVES 1. Introduction to latches and the D type flip-flop 2. Use of actual flip-flops to help you understand sequential

More information

Objectives: Part 1: Build a simple power supply. CS99S Laboratory 1

Objectives: Part 1: Build a simple power supply. CS99S Laboratory 1 CS99S Laboratory 1 Objectives: 1. Become familiar with the breadboard 2. Build a logic power supply 3. Use switches to make 1s and 0s 4. Use LEDs to observe 1s and 0s 5. Make a simple oscillator 6. Use

More information

ECEN 1400, Introduction to Analog and Digital Electronics

ECEN 1400, Introduction to Analog and Digital Electronics ECEN 1400, Introduction to Analog and Digital Electronics Lab 4: Power supply 1 INTRODUCTION This lab will span two lab periods. In this lab, you will create the power supply that transforms the AC wall

More information

Sequential Logic. (Materials taken from: Principles of Computer Hardware by Alan Clements )

Sequential Logic. (Materials taken from: Principles of Computer Hardware by Alan Clements ) Sequential Logic (Materials taken from: Principles of Computer Hardware by Alan Clements ) Sequential vs. Combinational Circuits Combinatorial circuits: their outputs are computed entirely from their present

More information

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder FORDHAM UNIVERSITY CISC 3593 Fordham College Lincoln Center Computer Organization Dept. of Computer and Info. Science Spring, 2011 Lab 2 The Full-Adder 1 Introduction In this lab, the student will construct

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

GLOLAB Two Wire Stepper Motor Positioner

GLOLAB Two Wire Stepper Motor Positioner Introduction A simple and inexpensive way to remotely rotate a display or object is with a positioner that uses a stepper motor to rotate it. The motor is driven by a circuit mounted near the motor and

More information

DEPARTMENT OF INFORMATION TECHNLOGY

DEPARTMENT OF INFORMATION TECHNLOGY DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF INFORMATION TECHNLOGY Lab Manual for Computer Organization Lab ECS-453

More information

MODEL 5010 DUAL CHANNEL SMOKE/FIRE DETECTION MODULE

MODEL 5010 DUAL CHANNEL SMOKE/FIRE DETECTION MODULE DESCRIPTION MODEL 5010 DUAL CHANNEL SMOKE/FIRE DETECTION MODULE DESCRIPTION The SST Model 5010 Two Channel Smoke/Fire Detection Module provides two independent detection input channels for the NOVA-5000

More information

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 Robert G. Brown All Rights Reserved August 25, 2000 Alta Engineering 58 Cedar Lane New Hartford, CT 06057-2905 (860) 489-8003 www.alta-engineering.com

More information

Interfacing Analog to Digital Data Converters

Interfacing Analog to Digital Data Converters Converters In most of the cases, the PIO 8255 is used for interfacing the analog to digital converters with microprocessor. We have already studied 8255 interfacing with 8086 as an I/O port, in previous

More information

RUTGERS UNIVERSITY Department of Electrical and Computer Engineering 14:332:233 DIGITAL LOGIC DESIGN LABORATORY

RUTGERS UNIVERSITY Department of Electrical and Computer Engineering 14:332:233 DIGITAL LOGIC DESIGN LABORATORY RUTGERS UNIVERSITY Department of Electrical and Computer Engineering 14:332:233 DIGITAL LOGIC DESIGN LABORATORY Fall 2012 Contents 1 LABORATORY No 1 3 11 Equipment 3 12 Protoboard 4 13 The Input-Control/Output-Display

More information

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package.

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package. PRESETTABLE BCD/DECADE UP/DOWN COUNTERS PRESETTABLE 4-BIT BINARY UP/DOWN COUNTERS The SN54/74LS90 is a synchronous UP/DOWN BCD Decade (842) Counter and the SN54/74LS9 is a synchronous UP/DOWN Modulo-6

More information

1.1 The 7493 consists of 4 flip-flops with J-K inputs unconnected. In a TTL chip, unconnected inputs

1.1 The 7493 consists of 4 flip-flops with J-K inputs unconnected. In a TTL chip, unconnected inputs CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-246 Digital Logic Lab EXPERIMENT 1 COUNTERS AND WAVEFORMS Text: Mano, Digital Design, 3rd & 4th Editions, Sec.

More information

Your Multimeter. The Arduino Uno 10/1/2012. Using Your Arduino, Breadboard and Multimeter. EAS 199A Fall 2012. Work in teams of two!

Your Multimeter. The Arduino Uno 10/1/2012. Using Your Arduino, Breadboard and Multimeter. EAS 199A Fall 2012. Work in teams of two! Using Your Arduino, Breadboard and Multimeter Work in teams of two! EAS 199A Fall 2012 pincer clips good for working with breadboard wiring (push these onto probes) Your Multimeter probes leads Turn knob

More information

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.1 Objectives To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.2 Introduction Circuits for counting events are frequently used in computers and other digital

More information

Analog & Digital Electronics Course No: PH-218

Analog & Digital Electronics Course No: PH-218 Analog & Digital Electronics Course No: PH-218 Lec-28: Logic Gates & Family Course Instructor: Dr. A. P. VAJPEYI Department of Physics, Indian Institute of Technology Guwahati, India 1 Digital Logic Gates

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

Lab 11 Digital Dice. Figure 11.0. Digital Dice Circuit on NI ELVIS II Workstation

Lab 11 Digital Dice. Figure 11.0. Digital Dice Circuit on NI ELVIS II Workstation Lab 11 Digital Dice Figure 11.0. Digital Dice Circuit on NI ELVIS II Workstation From the beginning of time, dice have been used for games of chance. Cubic dice similar to modern dice date back to before

More information

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits Objectives ELEC - EXPERIMENT Basic Digital Logic Circuits The experiments in this laboratory exercise will provide an introduction to digital electronic circuits. You will learn how to use the IDL-00 Bit

More information

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) SYNCHRONOUS PROGRAMMABLE 4-BIT BINARY COUNTER WITH ASYNCHRONOUS CLEAR INTERNAL LOOK-AHEAD FOR FAST COUNTING CARRY OUTPUT FOR CASCADING SYNCHRONOUSLY PROGRAMMABLE LOW-POWER TTL COMPATIBILITY STANDARDIZED

More information

Digital circuits make up all computers and computer systems. The operation of digital circuits is based on

Digital circuits make up all computers and computer systems. The operation of digital circuits is based on Digital Logic Circuits Digital circuits make up all computers and computer systems. The operation of digital circuits is based on Boolean algebra, the mathematics of binary numbers. Boolean algebra is

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Chapter 8. Sequential Circuits for Registers and Counters

Chapter 8. Sequential Circuits for Registers and Counters Chapter 8 Sequential Circuits for Registers and Counters Lesson 3 COUNTERS Ch16L3- "Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline Counters T-FF Basic Counting element State

More information

Designing VM2 Application Boards

Designing VM2 Application Boards Designing VM2 Application Boards This document lists some things to consider when designing a custom application board for the VM2 embedded controller. It is intended to complement the VM2 Datasheet. A

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

Lecture 8: Synchronous Digital Systems

Lecture 8: Synchronous Digital Systems Lecture 8: Synchronous Digital Systems The distinguishing feature of a synchronous digital system is that the circuit only changes in response to a system clock. For example, consider the edge triggered

More information

Chapter 6 TRANSISTOR-TRANSISTOR LOGIC. 3-emitter transistor.

Chapter 6 TRANSISTOR-TRANSISTOR LOGIC. 3-emitter transistor. Chapter 6 TRANSISTOR-TRANSISTOR LOGIC The evolution from DTL to TTL can be seen by observing the placement of p-n junctions. For example, the diode D2 from Figure 2 in the chapter on DTL can be replaced

More information

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa Experiment # 9 Clock generator circuits & Counters Eng. Waleed Y. Mousa 1. Objectives: 1. Understanding the principles and construction of Clock generator. 2. To be familiar with clock pulse generation

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

Lecture-3 MEMORY: Development of Memory:

Lecture-3 MEMORY: Development of Memory: Lecture-3 MEMORY: It is a storage device. It stores program data and the results. There are two kind of memories; semiconductor memories & magnetic memories. Semiconductor memories are faster, smaller,

More information

3-Digit Counter and Display

3-Digit Counter and Display ECE 2B Winter 2007 Lab #7 7 3-Digit Counter and Display This final lab brings together much of what we have done in our lab experiments this quarter to construct a simple tachometer circuit for measuring

More information

ASYNCHRONOUS COUNTERS

ASYNCHRONOUS COUNTERS LB no.. SYNCHONOUS COUNTES. Introduction Counters are sequential logic circuits that counts the pulses applied at their clock input. They usually have 4 bits, delivering at the outputs the corresponding

More information

Asynchronous counters, except for the first block, work independently from a system clock.

Asynchronous counters, except for the first block, work independently from a system clock. Counters Some digital circuits are designed for the purpose of counting and this is when counters become useful. Counters are made with flip-flops, they can be asynchronous or synchronous and they can

More information

Sequential Logic: Clocks, Registers, etc.

Sequential Logic: Clocks, Registers, etc. ENEE 245: igital Circuits & Systems Lab Lab 2 : Clocks, Registers, etc. ENEE 245: igital Circuits and Systems Laboratory Lab 2 Objectives The objectives of this laboratory are the following: To design

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/20 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Module 3: Floyd, Digital Fundamental

Module 3: Floyd, Digital Fundamental Module 3: Lecturer : Yongsheng Gao Room : Tech - 3.25 Email : yongsheng.gao@griffith.edu.au Structure : 6 lectures 1 Tutorial Assessment: 1 Laboratory (5%) 1 Test (20%) Textbook : Floyd, Digital Fundamental

More information

2 : BISTABLES. In this Chapter, you will find out about bistables which are the fundamental building blocks of electronic counting circuits.

2 : BISTABLES. In this Chapter, you will find out about bistables which are the fundamental building blocks of electronic counting circuits. 2 : BITABLE In this Chapter, you will find out about bistables which are the fundamental building blos of electronic counting circuits. et-reset bistable A bistable circuit, also called a latch, or flip-flop,

More information

Debouncing Switches. Mechanical switches are one of the most common interfaces to a uc.

Debouncing Switches. Mechanical switches are one of the most common interfaces to a uc. Mechanical switches are one of the most common interfaces to a uc. Switch inputs are asynchronous to the uc and are not electrically clean. Asynchronous inputs can be handled with a synchronizer (2 FF's).

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

Lesson 12 Sequential Circuits: Flip-Flops

Lesson 12 Sequential Circuits: Flip-Flops Lesson 12 Sequential Circuits: Flip-Flops 1. Overview of a Synchronous Sequential Circuit We saw from last lesson that the level sensitive latches could cause instability in a sequential system. This instability

More information

DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs

DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs General Description The DM9368 is a 7-segment decoder driver incorporating input latches and constant current output circuits

More information

Using the NI 17xx Smart Camera Direct Drive Lighting Controller

Using the NI 17xx Smart Camera Direct Drive Lighting Controller Using the NI 17xx Smart Camera Direct Drive Lighting Controller Overview The use of proper lighting is often overlooked when designing a machine vision application. More robust and accurate inspections

More information

DM74LS191 Synchronous 4-Bit Up/Down Counter with Mode Control

DM74LS191 Synchronous 4-Bit Up/Down Counter with Mode Control August 1986 Revised February 1999 DM74LS191 Synchronous 4-Bit Up/Down Counter with Mode Control General Description The DM74LS191 circuit is a synchronous, reversible, up/ down counter. Synchronous operation

More information

Operating Manual Ver.1.1

Operating Manual Ver.1.1 4 Bit Binary Ripple Counter (Up-Down Counter) Operating Manual Ver.1.1 An ISO 9001 : 2000 company 94-101, Electronic Complex Pardesipura, Indore- 452010, India Tel : 91-731- 2570301/02, 4211100 Fax: 91-731-

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

Figure 8-1 Four Possible Results of Adding Two Bits

Figure 8-1 Four Possible Results of Adding Two Bits CHPTER EIGHT Combinational Logic pplications Thus far, our discussion has focused on the theoretical design issues of computer systems. We have not yet addressed any of the actual hardware you might find

More information

Odyssey of the Mind Technology Fair. Simple Electronics

Odyssey of the Mind Technology Fair. Simple Electronics Simple Electronics 1. Terms volts, amps, ohms, watts, positive, negative, AC, DC 2. Matching voltages a. Series vs. parallel 3. Battery capacity 4. Simple electronic circuit light bulb 5. Chose the right

More information

EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS

EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS PURPOSE Logic gates are classified not only by their logical functions, but also by their logical families. In any implementation of a digital system, an understanding

More information

USB-QUAD08. Eight-channel Quadrature Encoder Input Device. Specifications

USB-QUAD08. Eight-channel Quadrature Encoder Input Device. Specifications Eight-channel Quadrature Encoder Input Device s Document Revision 1.1 May 2012 Copyright 2012 s All specifications are subject to change without notice. Typical for 25 C unless otherwise specified. s in

More information

1-800-831-4242

1-800-831-4242 Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DM74LS161A DM74LS163A Synchronous 4-Bit Binary Counters General Description

More information

In-System Programming Design TM. Guidelines for ispjtag Devices. Introduction. Device-specific Connections. isplsi 1000EA Family.

In-System Programming Design TM. Guidelines for ispjtag Devices. Introduction. Device-specific Connections. isplsi 1000EA Family. In-System Design TM February 2002 Introduction In-system programming (ISP ) has often been billed as a direct replacement for configuring a device through a programmer. The idea that devices can simply

More information

A New Paradigm for Synchronous State Machine Design in Verilog

A New Paradigm for Synchronous State Machine Design in Verilog A New Paradigm for Synchronous State Machine Design in Verilog Randy Nuss Copyright 1999 Idea Consulting Introduction Synchronous State Machines are one of the most common building blocks in modern digital

More information

CHAPTER 11 LATCHES AND FLIP-FLOPS

CHAPTER 11 LATCHES AND FLIP-FLOPS CHAPTER 11 LATCHES AND FLIP-FLOPS This chapter in the book includes: Objectives Study Guide 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop

More information

MM74HC4538 Dual Retriggerable Monostable Multivibrator

MM74HC4538 Dual Retriggerable Monostable Multivibrator MM74HC4538 Dual Retriggerable Monostable Multivibrator General Description The MM74HC4538 high speed monostable multivibrator (one shots) is implemented in advanced silicon-gate CMOS technology. They feature

More information

A Digital Timer Implementation using 7 Segment Displays

A Digital Timer Implementation using 7 Segment Displays A Digital Timer Implementation using 7 Segment Displays Group Members: Tiffany Sham u2548168 Michael Couchman u4111670 Simon Oseineks u2566139 Caitlyn Young u4233209 Subject: ENGN3227 - Analogue Electronics

More information

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs.

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs. equential Logic o far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs. In sequential logic the output of the

More information

GLOLAB Universal Telephone Hold

GLOLAB Universal Telephone Hold GLOLAB Universal Telephone Hold 1 UNIVERSAL HOLD CIRCUIT If you have touch tone telephone service, you can now put a call on hold from any phone in the house, even from cordless phones and phones without

More information

Interfacing To Alphanumeric Displays

Interfacing To Alphanumeric Displays Interfacing To Alphanumeric Displays To give directions or data values to users, many microprocessor-controlled instruments and machines need to display letters of the alphabet and numbers. In systems

More information

Wiki Lab Book. This week is practice for wiki usage during the project.

Wiki Lab Book. This week is practice for wiki usage during the project. Wiki Lab Book Use a wiki as a lab book. Wikis are excellent tools for collaborative work (i.e. where you need to efficiently share lots of information and files with multiple people). This week is practice

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

EGR 278 Digital Logic Lab File: N278L3A Lab # 3 Open-Collector and Driver Gates

EGR 278 Digital Logic Lab File: N278L3A Lab # 3 Open-Collector and Driver Gates EGR 278 Digital Logic Lab File: N278L3A Lab # 3 Open-Collector and Driver Gates A. Objectives The objectives of this laboratory are to investigate: the operation of open-collector gates, including the

More information

Digital Fundamentals. Lab 8 Asynchronous Counter Applications

Digital Fundamentals. Lab 8 Asynchronous Counter Applications Richland College Engineering Technology Rev. 0 B. Donham Rev. 1 (7/2003). Horne Rev. 2 (1/2008). Bradbury Digital Fundamentals CETT 1425 Lab 8 Asynchronous Counter Applications Name: Date: Objectives:

More information

MM74HC174 Hex D-Type Flip-Flops with Clear

MM74HC174 Hex D-Type Flip-Flops with Clear Hex D-Type Flip-Flops with Clear General Description The MM74HC174 edge triggered flip-flops utilize advanced silicon-gate CMOS technology to implement D-type flipflops. They possess high noise immunity,

More information

74LS193 Synchronous 4-Bit Binary Counter with Dual Clock

74LS193 Synchronous 4-Bit Binary Counter with Dual Clock 74LS193 Synchronous 4-Bit Binary Counter with Dual Clock General Description The DM74LS193 circuit is a synchronous up/down 4-bit binary counter. Synchronous operation is provided by having all flip-flops

More information

Asynchronous Counters. Asynchronous Counters

Asynchronous Counters. Asynchronous Counters Counters and State Machine Design November 25 Asynchronous Counters ENGI 25 ELEC 24 Asynchronous Counters The term Asynchronous refers to events that do not occur at the same time With respect to counter

More information

Fig 3. PLC Relay Output

Fig 3. PLC Relay Output 1. Function of a PLC PLC Basics A PLC is a microprocessor-based controller with multiple inputs and outputs. It uses a programmable memory to store instructions and carry out functions to control machines

More information

DM74LS169A Synchronous 4-Bit Up/Down Binary Counter

DM74LS169A Synchronous 4-Bit Up/Down Binary Counter Synchronous 4-Bit Up/Down Binary Counter General Description This synchronous presettable counter features an internal carry look-ahead for cascading in high-speed counting applications. Synchronous operation

More information

Rotary Encoder Interface for Spartan-3E Starter Kit

Rotary Encoder Interface for Spartan-3E Starter Kit Rotary Encoder Interface for Spartan-3E Starter Kit Ken Chapman Xilinx Ltd 2 th February 26 Rev.2 With thanks to Peter Alfke (Xilinx Inc.) Limitations Limited Warranty and Disclaimer. These designs are

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Objectives: Analyze the operation of sequential logic circuits. Understand the operation of digital counters.

More information

CpE358/CS381. Switching Theory and Logical Design. Class 4

CpE358/CS381. Switching Theory and Logical Design. Class 4 Switching Theory and Logical Design Class 4 1-122 Today Fundamental concepts of digital systems (Mano Chapter 1) Binary codes, number systems, and arithmetic (Ch 1) Boolean algebra (Ch 2) Simplification

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Dr. Greg Tumbush, gtumbush@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC6 74C/CT/CU/CMOS ogic Family Specifications The IC6 74C/CT/CU/CMOS ogic Package Information The IC6 74C/CT/CU/CMOS ogic

More information

MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question.

MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. CHAPTER3 QUESTIONS MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. ) If one input of an AND gate is LOW while the other is a clock signal, the output

More information

Lecture 7: Clocking of VLSI Systems

Lecture 7: Clocking of VLSI Systems Lecture 7: Clocking of VLSI Systems MAH, AEN EE271 Lecture 7 1 Overview Reading Wolf 5.3 Two-Phase Clocking (good description) W&E 5.5.1, 5.5.2, 5.5.3, 5.5.4, 5.5.9, 5.5.10 - Clocking Note: The analysis

More information

ENGI 241 Experiment 5 Basic Logic Gates

ENGI 241 Experiment 5 Basic Logic Gates ENGI 24 Experiment 5 Basic Logic Gates OBJECTIVE This experiment will examine the operation of the AND, NAND, OR, and NOR logic gates and compare the expected outputs to the truth tables for these devices.

More information

AP-1 Application Note on Remote Control of UltraVolt HVPS

AP-1 Application Note on Remote Control of UltraVolt HVPS Basics Of UltraVolt HVPS Output Voltage Control Application Note on Remote Control of UltraVolt HVPS By varying the voltage at the Remote Adjust Input terminal (pin 6) between 0 and +5V, the UV highvoltage

More information

SYSTEM 4C. C R H Electronics Design

SYSTEM 4C. C R H Electronics Design SYSTEM 4C C R H Electronics Design SYSTEM 4C All in one modular 4 axis CNC drive board By C R Harding Specifications Main PCB & Input PCB Available with up to 4 Axis X, Y, Z, A outputs. Independent 25

More information

Short Range Wireless Switch System Handheld 8 Installation and Operations Guide

Short Range Wireless Switch System Handheld 8 Installation and Operations Guide Phone: (866) 701-1146 Fax: (425) 216-7558 www.remotecontroltech.com Short Range Wireless Switch System Handheld 8 Installation and Operations Guide Introduction... 2 Before Installation... 2 Receiver Installation...

More information

On/Off Controller with Debounce and

On/Off Controller with Debounce and 19-4128; Rev ; 5/8 On/Off Controller with Debounce and General Description The is a pushbutton on/off controller with a single switch debouncer and built-in latch. It accepts a noisy input from a mechanical

More information

MIDECO 64-outputs MIDI note decoder USER MANUAL. Roman Sowa 2012

MIDECO 64-outputs MIDI note decoder USER MANUAL. Roman Sowa 2012 MIDECO 64-outputs MIDI note decoder USER MANUAL Roman Sowa 2012 www.midi-hardware.com 1.Overview Thank you for choosing MIDECO as your new MIDI-to-digital converter. This short manual will guide you through

More information

Digital Logic Elements, Clock, and Memory Elements

Digital Logic Elements, Clock, and Memory Elements Physics 333 Experiment #9 Fall 999 Digital Logic Elements, Clock, and Memory Elements Purpose This experiment introduces the fundamental circuit elements of digital electronics. These include a basic set

More information

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features.

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features. Features High Voltage CMOS Technology Complementary Outputs Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Plastic SOIC-8 Package 100% Matte Tin Plating over

More information

DMX-K-DRV. Integrated Step Motor Driver + (Basic Controller) Manual

DMX-K-DRV. Integrated Step Motor Driver + (Basic Controller) Manual DMX-K-DRV Integrated Step Motor Driver + (Basic Controller) Manual DMX-K-DRV Manual page 1 rev 1.33 COPYRIGHT 2007 ARCUS, ALL RIGHTS RESERVED First edition, June 2007 ARCUS TECHNOLOGY copyrights this document.

More information

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters:

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters: Design Eample: ers er: a sequential circuit that repeats a specified sequence of output upon clock pulses. A,B,C,, Z. G, O, T, E, R, P, S,!.,,,,,,,7. 7,,,,,,,.,,,,,,,,,,,. Binary counter: follows the binary

More information