Comparison of Single Bit 14T and 8T Full Adder for Low-Power VLSI Design

Size: px
Start display at page:

Download "Comparison of Single Bit 14T and 8T Full Adder for Low-Power VLSI Design"

Transcription

1 Proc. of Int. Conf. on Emerging Trends in Engineering and Technology Comparison of Single Bit 14T and 8T Full Adder for Low-Power VLSI Design Shikha Singh 1, Seema Narwal 2 1 Electronic Science Department, Kurukshetra University, Haryana shikhasingh0606@gmail.com 2 Electronic Science Department, Kurukshetra University, Haryana seemanarwal@gmail.com Abstract This paper presents a new design for 14 transistor single bit full adder, implemented using five transistor XNOR/XOR cell and transmission gate multiplexer. For transmission gate multiplexer complementary gate control signals are required and in 14 transistor full Adder both XOR and XNOR signals are generated. XNOR/XOR cell shows high power consumption than single XNOR gate. So, 8 transistor full adder has been designed using 3 transistor XNOR gate and comparison is made between 14 T and 8 T full adder. Simulation has been done using 0.35µm technology. These circuits were redesigned at the transistor-level in tsmc 0.18µm technology and comparison reported here uses Mentor Graphics ELDO simulations to assess their performance. Circuit works well with reduced supply voltage and simulations have been carried out up to 1.8V supply voltage. 8T full adder shows better performance in terms of power consumption and transistor count as compared to 14 T full adder. Index Terms CMOS, exclusive-or (XOR), exclusive-nor (XNOR), full adder, lowpower, pass transistor logic, transmission gate multiplexer. I. INTRODUCTION With rise in number of transistors on chip, power consumption of VLSI systems is also raising which raises chip temperature and affect battery life. So research efforts in the field of low-power VLSI (very large-scale integration)[9] systems have increased[2]. Adders are extensively used component in data path which consumes roughly 30% of the total power of the system therefore careful design analysis is required [3]. The function of full adder is based on following two equations, A, B, Cin are the three single bit inputs and generates two single bit outputs Sum and Carry (Cout)[5], where: Sum = (A xor B) xor Cin (1) Cout = A and B + Cin (A xor B) (2) Structure approach for implementation of single bit full adders using XOR/XNOR[1] is shown in Fig. 1. With decomposition of full adder cell into smaller cells, equation (1) and equation (2) can be rewritten as: Sum= H' xnor Cin = H.Cin '+H'Cin (3) Cout= AH '+CinH (4) Where H is half sum (A xor B) and H ' is complement of H. DOI: 03.AETS Association of Computer Electronics and Electrical Engineers, 2013

2 II. SYSTEM DESCRIPTION A new single bit full adder with 14 transistors based on XNOR/XOR cell and transmission gate multiplexer has been presented. Gate lengths of all three transistors have been taken as 0.35µm and 0.18µm for 0.35µm and 0.18µm technology respectively. Width (Wn) of NMOS transistors N1 and N2 has been taken 5.0µm and 1.0µm for 0.35µm technology and 2.5µm and 0.5µm for 0.18µm technology. Width (Wp) for transistor P1 has been taken as 2.0µm and 1.0µm for 0.35µm and 0.18µm technology. Width for Wn and Wp for inverter have been taken as 2.5µm and 1.0µm for 0.35µm technology and 1.25µm and.5µm for 0.18µm technology[7],[8]. Figure 1: Structure Approach of single bit full adder For multiplexer section, values of width (Wn &Wp) for NMOS and PMOS transistors have been taken as 1.0µm & 2µm respectively for 0.35µm technology and 0.5µm and 1.0µm for 0.18µm technology. Sum is generated by two XNOR/XOR cell and Cout by transmission gate multiplexer. For transmission gate multiplexer complementary gate control signals are required and in 14 transistor full Adder both XOR and XNOR signals are generated. For 8 T full adder sum is generated by two XNOR gates and Cout is generated by two transistors multiplexer block. The output of the first stage is used as a selector circuit for the carry output. When the output of the first stage a XNOR b is 0, the carry output is equal to the carry in i.e. Cin. When the output of the first stage a XNOR b is 1, the carry output is equal to the input a. Fig. 2(a) and Fig. 2(b) shows the implemented single bit full adder using proposed XNOR gates with 14 T and 8 T[4],[6]. Figure2 (a): 14 T Full adder using XNOR/ XOR cell Figure2 (b): 8 T Full Adder using 3 T XNOR gate 873

3 III. RESULTS AND DISCUSSIONS Fig. 3 shows input and output waveform results for 14 T full adder. Output voltage levels are improved with 0.18µm than in 0.35µm technology. Fig. 4 shows input and output waveform results for 8 T full adder. Output voltage levels are improved with 0.18µm than in 0.35µm technology. Figure 3(a) Figure 3(b) Figure 3: 14 T Full Adder Waveform (a)using 0.35µm technology (b) using 0.18µm technology with supply voltage of 3.3V 874

4 Figure 4(a) Figure 4(b) Figure 4: 8 T Full adder Waveform (a)using 0.35µm technology (b) using 0.18µm technology with supply voltage of 3.3 V Table 1 shows power consumption and output voltage levels for sum and carry of proposed 14 T Full Adder. It has been observed from table that power consumption reduces with reduction in supply voltage. S.V (V) Power Consumption (µw) TABLE I(A) for low SUM for high Cout

5 TABLE I(B) S.V (V) Power consumption (µw) for low SUM for high Cout Also from figure 5, power consumption decreases using 0.18 than in 0.35µm technology. Output voltage levels are improved with 0.18 than in 0.35µm technology. Power dissipation (uw) um 0.18 um 14 T full ad der Supply Voltage(V ) Figure 5: Power consumption of 14 T Full Adder gate with supply voltage of [ ] V using 0.35µm and 0.18µm technology Table 2 shows results of power consumption and output voltage levels for 8 T full adder with variations in supply voltage from [ ] V. TABLE II: POWER CONSUMPTION AND OUTPUT VOLTAGE LEVELS OF 8T FULL ADDER (A) USING 0.35µM (B) USING 0.35µM TECHNOLOGY WITH SUPPLY VOLTAGE OF [ ]V TABLE II (A) S.V(V) Power consumption(µw) for low SUM for high Cout S.V (V) Power consumption(µw) TABLE II (B) for low SUM output for high Cout It has been observed from table that power consumption reduces with reduction in supply voltage. Also from figure 6, power consumption decreases using 0.18 than in 0.35µm technology. Output voltage levels are improved with 0.18 than in 0.35µm technology. 876

6 Power Consumption(pW) um 0.18um 8 T Full Adder Supply Voltage(V) Figure 6: Power consumption of 8 T Full Adder with supply voltage of [ ] V using 0.35µm and 0.18µm technology Table 3 shows comparison of 14 T and 8 T full Adder. 8 T full Adder has less internal capacitance due to reduce number of transistors and shows reduced power consumption. TABLE 3: COMPARISONS OF POWER CONSUMPTION OF 14 T FULL ADDER AND 8 T FULL FULL ADDER Full Adder Configuration Technology Power Consumption (µw) 14T Full Adder 0.35µm T Full Adder 0.35µm T Full Adder 0.18µm T Full Adder 0.18µm IV. CONCLUSION A new low-power single bit full adder based on XNOR/XOR cell using 14 transistors has been designed. Proposed adder shows power consumption of µW using 0.35µm technology and µW using 0.18µm technology with supply voltage of 3.3V. Also single bit full adder with 8 transistors based on proposed XNOR gate has been presented which shows power consumption of µW using 0.35µm technology and µW using 0.18µm technology with supply voltage of 3.3V. 8 T Adder has been compared with 14 T adder and show less power consumption with reduced transistor count. The proposed adders has been designed and studied using 0.18µm and 0.35µm technologies, which shows better performance of circuits using 0.18µm technology. REFERENCES [1] Sujata Pandey, Sandeep K. Arya, Manoj Kumar, Single bit full adder design using 8 transistors with novel 3 transistors XNOR gate, International Journal of VLSI design & Communication Systems (VLSICS),Vol.2, No.4, December [2] A.Banerjee, Saradindu Panda, Dr.A.K.Mukhopadhyay, B.Maji, Power and Delay Comparison in between Different types of Full-Adder Circuits, IJAREEIE, Vol. 1, Issue 3, September [3] Mayank Kumar Rai, Deepak Garg, CMOS Based 1-Bit Full Adder Cell for Low-Power Delay Product, IJECCT, Vol. 2, No.4, July2012. [4] V.Elakya, D.Priya, Design Of High Performance Low Power Full-Adder, IJATER, Vol. 2, Issue 2, September [5] Rohit Maurya, Vivek Kumar, Vrinda Gupta,, A Study and Analysis of High Speed Adders in Power-Constrained Environment, International Journal of Soft Computing and Engineering (IJSCE), Volume-2, Issue-3, July [6] Divya Gupta, Ravindra Prakash Gupta, B.S.N.Raju, Analysis of Different CMOS Adders for Power, Speed and Area, IJECT, Vol. 2, Issue 4, Oct - Dec 2011 [7] Yi WEI, Ji-zhong SHEN, Design of a novel low power 8-transistor 1-bit full adder cell Wei et al. / 604 J Zhejiang Univ-Sci (Comput & Electron), [8] R. N. Mandavgane, N. M. Chore, A survey of low power high speed one bit full adder, Recent advances in networking, VLSI and signal processing, vol.6, pp , Oct [9] Shikha, Seema, Comparative Performance Analysis of XOR/XNOR Topologies for Low-Power VLSI Design, IJERT, ISSN , Vol-6, No.-10, pp.25-31,

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Sushil B. Bhaisare 1, Sonalee P. Suryawanshi 2, Sagar P. Soitkar 3 1 Lecturer in Electronics Department, Nagpur University, G.H.R.I.E.T.W. Nagpur,

More information

HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER

HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER Sachin Kumar *1, Aman Kumar #2, Puneet Bansal #3 * Department of Electronic Science, Kurukshetra University, Kurukshetra, Haryana, India # University Institute

More information

A New Low Power Dynamic Full Adder Cell Based on Majority Function

A New Low Power Dynamic Full Adder Cell Based on Majority Function World Applied Sciences Journal 4 (1): 133-141, 2008 ISSN 1818-4952 IDOSI Publications, 2008 A New Low Power Dynamic Full Adder Cell Based on Majority Function 1 Vahid Foroutan, 2 Keivan Navi and 1 Majid

More information

LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC

LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC B. Dilli kumar 1, K. Charan kumar 1, M. Bharathi 2 Abstract- The efficiency of a system mainly depends on the performance of the internal

More information

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Objectives In this lecture you will learn the following Introduction Logical Effort of an Inverter

More information

A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates

A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates Shubhajit Roy Chowdhury, Aritra Banerjee, Aniruddha Roy, Hiranmay Saha Abstract The paper proposes the novel design of a 3T

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating S.Nandhini 1, T.G.Dhaarani 2, P.Kokila 3, P.Premkumar 4 Assistant Professor, Dept. of ECE, Nandha Engineering College, Erode,

More information

High Speed Gate Level Synchronous Full Adder Designs

High Speed Gate Level Synchronous Full Adder Designs High Speed Gate Level Synchronous Full Adder Designs PADMANABHAN BALASUBRAMANIAN and NIKOS E. MASTORAKIS School of Computer Science, The University of Manchester, Oxford Road, Manchester M13 9PL, UNITED

More information

CMOS Binary Full Adder

CMOS Binary Full Adder CMOS Binary Full Adder A Survey of Possible Implementations Group : Eren Turgay Aaron Daniels Michael Bacelieri William Berry - - Table of Contents Key Terminology...- - Introduction...- 3 - Design Architectures...-

More information

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort Optimization and Comparison of -Stage, -i/p NND Gate, -i/p NOR Gate Driving Standard Load By Using Logical Effort Satyajit nand *, and P.K.Ghosh ** * Mody Institute of Technology & Science/ECE, Lakshmangarh,

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

LOW POWER CMOS FULL ADDER DESIGN WITH 12 TRANSISTORS

LOW POWER CMOS FULL ADDER DESIGN WITH 12 TRANSISTORS LOW POWER CMOS FULL ADDER DESIGN WITH 12 TRANSISTORS Manoj Kumar 1, Sandeep K. Arya 1, Sujata Pandey 2 1 Department of Electronics & Communication Engineering Guru Jambheshwar University of Science & Technology,

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements LFSR BASED COUNTERS BY AVINASH AJANE, B.E A technical report submitted to the Graduate School in partial fulfillment of the requirements for the degree Master of Science in Electrical Engineering New Mexico

More information

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction Introduction Gates & Boolean lgebra Boolean algebra: named after mathematician George Boole (85 864). 2-valued algebra. digital circuit can have one of 2 values. Signal between and volt =, between 4 and

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

earlier in the semester: The Full adder above adds two bits and the output is at the end. So if we do this eight times, we would have an 8-bit adder.

earlier in the semester: The Full adder above adds two bits and the output is at the end. So if we do this eight times, we would have an 8-bit adder. The circuit created is an 8-bit adder. The 8-bit adder adds two 8-bit binary inputs and the result is produced in the output. In order to create a Full 8-bit adder, I could use eight Full -bit adders and

More information

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers Mehta Shantanu Sheetal #1, Vigneswaran T. #2 # School of Electronics Engineering, VIT University Chennai, Tamil Nadu,

More information

AN IMPROVED DESIGN OF REVERSIBLE BINARY TO BINARY CODED DECIMAL CONVERTER FOR BINARY CODED DECIMAL MULTIPLICATION

AN IMPROVED DESIGN OF REVERSIBLE BINARY TO BINARY CODED DECIMAL CONVERTER FOR BINARY CODED DECIMAL MULTIPLICATION American Journal of Applied Sciences 11 (1): 69-73, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.69.73 Published Online 11 (1) 2014 (http://www.thescipub.com/ajas.toc) AN IMPROVED

More information

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP Anurag #1, Gurmohan Singh #2, V. Sulochana #3 # Centre for Development of Advanced Computing, Mohali, India 1 anuragece09@gmail.com 2 gurmohan@cdac.in

More information

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits Objectives ELEC - EXPERIMENT Basic Digital Logic Circuits The experiments in this laboratory exercise will provide an introduction to digital electronic circuits. You will learn how to use the IDL-00 Bit

More information

10 BIT s Current Mode Pipelined ADC

10 BIT s Current Mode Pipelined ADC 10 BIT s Current Mode Pipelined ADC K.BHARANI VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA kothareddybharani@yahoo.com P.JAYAKRISHNAN VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA pjayakrishnan@vit.ac.in

More information

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique Priyanka Sharma ME (ECE) Student NITTTR Chandigarh Rajesh Mehra Associate Professor Department of ECE NITTTR Chandigarh

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Sistemas Digitais I LESI - 2º ano

Sistemas Digitais I LESI - 2º ano Sistemas Digitais I LESI - 2º ano Lesson 6 - Combinational Design Practices Prof. João Miguel Fernandes (miguel@di.uminho.pt) Dept. Informática UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA - PLDs (1) - The

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Design and analysis of flip flops for low power clocking system

Design and analysis of flip flops for low power clocking system Design and analysis of flip flops for low power clocking system Gabariyala sabadini.c PG Scholar, VLSI design, Department of ECE,PSNA college of Engg and Tech, Dindigul,India. Jeya priyanka.p PG Scholar,

More information

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay Logic Gate Delay Chip designers need to choose: What is the best circuit topology for a function? How many stages of logic produce least delay? How wide transistors should be? Logical Effort Helps make

More information

A New Reversible TSG Gate and Its Application For Designing Efficient Adder Circuits

A New Reversible TSG Gate and Its Application For Designing Efficient Adder Circuits A New Reversible TSG Gate and Its Application For Designing Efficient Adder s Himanshu Thapliyal Center for VLSI and Embedded System Technologies International Institute of Information Technology Hyderabad-500019,

More information

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Power reduction on clock-tree using Energy recovery and clock gating technique S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Abstract Power consumption of

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Automated Switching Mechanism for Multi-Standard RFID Transponder

Automated Switching Mechanism for Multi-Standard RFID Transponder Automated Switching Mechanism for Multi-Standard RFID Transponder Teh Kim Ting and Khaw Mei Kum Faculty of Engineering Multimedia University Cyberjaya, Malaysia mkkhaw@mmu.edu.my Abstract This paper presents

More information

CMOS Thyristor Based Low Frequency Ring Oscillator

CMOS Thyristor Based Low Frequency Ring Oscillator CMOS Thyristor Based Low Frequency Ring Oscillator Submitted by: PIYUSH KESHRI BIPLAB DEKA 4 th year Undergraduate Student 4 th year Undergraduate Student Electrical Engineering Dept. Electrical Engineering

More information

Subthreshold Real-Time Counter.

Subthreshold Real-Time Counter. Subthreshold Real-Time Counter. Jonathan Edvard Bjerkedok Master of Science in Electronics Submission date: June 2013 Supervisor: Snorre Aunet, IET Co-supervisor: Øivind Ekelund, Energy Micro AS Norwegian

More information

Gate Delay Model. Estimating Delays. Effort Delay. Gate Delay. Computing Logical Effort. Logical Effort

Gate Delay Model. Estimating Delays. Effort Delay. Gate Delay. Computing Logical Effort. Logical Effort Estimating Delays Would be nice to have a back of the envelope method for sizing gates for speed Logical Effort Book by Sutherland, Sproull, Harris Chapter 1 is on our web page Also Chapter 4 in our textbook

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

Three-Phase Dual-Rail Pre-Charge Logic

Three-Phase Dual-Rail Pre-Charge Logic Infineon Page 1 CHES 2006 - Yokohama Three-Phase Dual-Rail Pre-Charge Logic L. Giancane, R. Luzzi, A. Trifiletti {marco.bucci, raimondo.luzzi}@infineon.com {giancane, trifiletti}@die.mail.uniroma1.it Summary

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

4-bit binary full adder with fast carry CIN + (A1 + B1) + 2(A2 + B2) + 4(A3 + B3) + 8(A4 + B4) = = S1 + 2S2 + 4S3 + 8S4 + 16COUT

4-bit binary full adder with fast carry CIN + (A1 + B1) + 2(A2 + B2) + 4(A3 + B3) + 8(A4 + B4) = = S1 + 2S2 + 4S3 + 8S4 + 16COUT Rev. 03 11 November 2004 Product data sheet 1. General description 2. Features The is a high-speed Si-gate CMOS device and is pin compatible with low power Schottky TTL (LSTTL). The is specified in compliance

More information

Elementary Logic Gates

Elementary Logic Gates Elementary Logic Gates Name Symbol Inverter (NOT Gate) ND Gate OR Gate Truth Table Logic Equation = = = = = + C. E. Stroud Combinational Logic Design (/6) Other Elementary Logic Gates NND Gate NOR Gate

More information

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards Points ddressed in this Lecture Lecture 8: ROM Programmable Logic Devices Professor Peter Cheung Department of EEE, Imperial College London Read-only memory Implementing logic with ROM Programmable logic

More information

Let s put together a Manual Processor

Let s put together a Manual Processor Lecture 14 Let s put together a Manual Processor Hardware Lecture 14 Slide 1 The processor Inside every computer there is at least one processor which can take an instruction, some operands and produce

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC6 74C/CT/CU/CMOS ogic Family Specifications The IC6 74C/CT/CU/CMOS ogic Package Information The IC6 74C/CT/CU/CMOS ogic

More information

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of the requirements for

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information

Design and Simulation of Soft Switched Converter Fed DC Servo Drive

Design and Simulation of Soft Switched Converter Fed DC Servo Drive International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-237, Volume-1, Issue-5, November 211 Design and Simulation of Soft Switched Converter Fed DC Servo Drive Bal Mukund Sharma, A.

More information

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language Chapter 4 Register Transfer and Microoperations Section 4.1 Register Transfer Language Digital systems are composed of modules that are constructed from digital components, such as registers, decoders,

More information

CSE140 Homework #7 - Solution

CSE140 Homework #7 - Solution CSE140 Spring2013 CSE140 Homework #7 - Solution You must SHOW ALL STEPS for obtaining the solution. Reporting the correct answer, without showing the work performed at each step will result in getting

More information

Content Map For Career & Technology

Content Map For Career & Technology Content Strand: Applied Academics CT-ET1-1 analysis of electronic A. Fractions and decimals B. Powers of 10 and engineering notation C. Formula based problem solutions D. Powers and roots E. Linear equations

More information

A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER

A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER 1 KARUNYA CHRISTOBAL LYDIA. S, 2 SHANMUGASUNDARI. A, 3 ANANDHI.Y 1,2,3 Electrical

More information

CSE140: Components and Design Techniques for Digital Systems

CSE140: Components and Design Techniques for Digital Systems CSE4: Components and Design Techniques for Digital Systems Tajana Simunic Rosing What we covered thus far: Number representations Logic gates Boolean algebra Introduction to CMOS HW#2 due, HW#3 assigned

More information

Performance of Flip-Flop Using 22nm CMOS Technology

Performance of Flip-Flop Using 22nm CMOS Technology Performance of Flip-Flop Using 22nm CMOS Technology K.Rajasri 1, A.Bharathi 2, M.Manikandan 3 M.E, Applied Electronics, IFET College of Engineering, Villupuram, India 1, 2 Assistant Professor, Department

More information

Lecture 10: Latch and Flip-Flop Design. Outline

Lecture 10: Latch and Flip-Flop Design. Outline Lecture 1: Latch and Flip-Flop esign Slides orginally from: Vladimir Stojanovic Computer Systems Laboratory Stanford University horowitz@stanford.edu 1 Outline Recent interest in latches and flip-flops

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS COMBINATIONAL CIRCUITS http://www.tutorialspoint.com/computer_logical_organization/combinational_circuits.htm Copyright tutorialspoint.com Combinational circuit is a circuit in which we combine the different

More information

Analog & Digital Electronics Course No: PH-218

Analog & Digital Electronics Course No: PH-218 Analog & Digital Electronics Course No: PH-218 Lec-28: Logic Gates & Family Course Instructor: Dr. A. P. VAJPEYI Department of Physics, Indian Institute of Technology Guwahati, India 1 Digital Logic Gates

More information

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu 1 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design

More information

A High-Yield Area-Power Efficient DWT Hardware for Implantable Neural Interface Applications

A High-Yield Area-Power Efficient DWT Hardware for Implantable Neural Interface Applications Proceedings of the 3rd International IEEE EMBS Conference on Neural Engineering Kohala Coast, Hawaii, USA, May 2-5, 2007 A High-Yield Area-Power Efficient DWT Hardware for Implantable Neural Interface

More information

A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip

A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip www.ijcsi.org 241 A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip Ahmed A. El Badry 1 and Mohamed A. Abd El Ghany 2 1 Communications Engineering Dept., German University in Cairo,

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

Lecture 5: Logical Effort

Lecture 5: Logical Effort Introduction to CMOS VLSI Design Lecture 5: Logical Effort David Harris Harvey Mudd College Spring 2004 Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of

More information

Verification & Design Techniques Used in a Graduate Level VHDL Course

Verification & Design Techniques Used in a Graduate Level VHDL Course Verification & Design Techniques Used in a Graduate Level VHDL Course Prof. Swati Agrawal, BE, MS (SUNY, Buffalo, NY USA) 1 Associate Professor, Department of Electronics & Telecommunication, Bhilai Institute

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Clock Distribution in RNS-based VLSI Systems

Clock Distribution in RNS-based VLSI Systems Clock Distribution in RNS-based VLSI Systems DANIEL GONZÁLEZ 1, ANTONIO GARCÍA 1, GRAHAM A. JULLIEN 2, JAVIER RAMÍREZ 1, LUIS PARRILLA 1 AND ANTONIO LLORIS 1 1 Dpto. Electrónica y Tecnología de Computadores

More information

exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576

exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576 exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576 Outline exclusive OR gate (XOR) Definition Properties Examples of Applications Odd Function Parity Generation and Checking

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

VENDING MACHINE. ECE261 Project Proposal Presentaion. Members: ZHANG,Yulin CHEN, Zhe ZHANG,Yanni ZHANG,Yayuan

VENDING MACHINE. ECE261 Project Proposal Presentaion. Members: ZHANG,Yulin CHEN, Zhe ZHANG,Yanni ZHANG,Yayuan VENDING MACHINE ECE261 Project Proposal Presentaion Members: ZHANG,Yulin CHEN, Zhe ZHANG,Yanni ZHANG,Yayuan Abstract This project will design and implement a coin operated vending machine controller The

More information

Low Power and Reliable SRAM Memory Cell and Array Design

Low Power and Reliable SRAM Memory Cell and Array Design Springer Series in Advanced Microelectronics 31 Low Power and Reliable SRAM Memory Cell and Array Design Bearbeitet von Koichiro Ishibashi, Kenichi Osada 1. Auflage 2011. Buch. XI, 143 S. Hardcover ISBN

More information

6.004 Computation Structures Spring 2009

6.004 Computation Structures Spring 2009 MIT OpenCourseWare http://ocw.mit.edu 6.004 Computation Structures Spring 2009 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. M A S S A C H U S E T T

More information

List of Experiment. 8. To study and verify the BCD to Seven Segments DECODER.(IC-7447).

List of Experiment. 8. To study and verify the BCD to Seven Segments DECODER.(IC-7447). G. H. RAISONI COLLEGE OF ENGINEERING, NAGPUR Department of Electronics & Communication Engineering Branch:-4 th Semester[Electronics] Subject: - Digital Circuits List of Experiment Sr. Name Of Experiment

More information

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment.

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment. Op-Amp Simulation EE/CS 5720/6720 Read Chapter 5 in Johns & Martin before you begin this assignment. This assignment will take you through the simulation and basic characterization of a simple operational

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

ASYNCHRONOUS COUNTERS

ASYNCHRONOUS COUNTERS LB no.. SYNCHONOUS COUNTES. Introduction Counters are sequential logic circuits that counts the pulses applied at their clock input. They usually have 4 bits, delivering at the outputs the corresponding

More information

Design and Development of Virtual Instrument (VI) Modules for an Introductory Digital Logic Course

Design and Development of Virtual Instrument (VI) Modules for an Introductory Digital Logic Course Session ENG 206-6 Design and Development of Virtual Instrument (VI) Modules for an Introductory Digital Logic Course Nikunja Swain, Ph.D., PE South Carolina State University swain@scsu.edu Raghu Korrapati,

More information

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT 216 ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT *P.Nirmalkumar, **J.Raja Paul Perinbam, @S.Ravi and #B.Rajan *Research Scholar,

More information

Parametric variation analysis of CUK converter for constant voltage applications

Parametric variation analysis of CUK converter for constant voltage applications ISSN (Print) : 232 3765 (An ISO 3297: 27 Certified Organization) Vol. 3, Issue 2, February 214 Parametric variation analysis of CUK converter for constant voltage applications Rheesabh Dwivedi 1, Vinay

More information

Arbitrary Density Pattern (ADP) Based Reduction of Testing Time in Scan-BIST VLSI Circuits

Arbitrary Density Pattern (ADP) Based Reduction of Testing Time in Scan-BIST VLSI Circuits Arbitrary Density Pattern (ADP) Based Reduction of Testing Time in Scan-BIST VLSI Circuits G. Naveen Balaji S. Vinoth Vijay Abstract Test power reduction done by Arbitrary Density Patterns (ADP) in which

More information

An On-chip Security Monitoring Solution For System Clock For Low Cost Devices

An On-chip Security Monitoring Solution For System Clock For Low Cost Devices An On-chip Security Monitoring Solution For System Clock For Low Cost Devices Frank Vater Innovations for High Performance Microelectronics Im Technologiepark 25 15236 Frankfurt (Oder), Germany vater@ihpmicroelectronics.com

More information

Two-level logic using NAND gates

Two-level logic using NAND gates CSE140: Components and Design Techniques for Digital Systems Two and Multilevel logic implementation Tajana Simunic Rosing 1 Two-level logic using NND gates Replace minterm ND gates with NND gates Place

More information

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder FORDHAM UNIVERSITY CISC 3593 Fordham College Lincoln Center Computer Organization Dept. of Computer and Info. Science Spring, 2011 Lab 2 The Full-Adder 1 Introduction In this lab, the student will construct

More information

Design of Four Input Buck-Boost DC-DC Converter for Renewable Energy Application

Design of Four Input Buck-Boost DC-DC Converter for Renewable Energy Application Design of Four Input Buck-Boost DC-DC Converter for Renewable Energy Application A.Thiyagarajan Assistant Professor, Department of Electrical and Electronics Engineering Karpagam Institute of Technology

More information

Floating Point Fused Add-Subtract and Fused Dot-Product Units

Floating Point Fused Add-Subtract and Fused Dot-Product Units Floating Point Fused Add-Subtract and Fused Dot-Product Units S. Kishor [1], S. P. Prakash [2] PG Scholar (VLSI DESIGN), Department of ECE Bannari Amman Institute of Technology, Sathyamangalam, Tamil Nadu,

More information

Alexander Mora-Sanchez, Dietmar Schroeder, and Wolfgang H. Krautschneider. Hamburg University of Technology, Germany

Alexander Mora-Sanchez, Dietmar Schroeder, and Wolfgang H. Krautschneider. Hamburg University of Technology, Germany PRELIMINARIES ON LOW-POWER ANALOG-TO-DIGITAL CONVERSION FOR SYSTEM-ON-CHIP DESIGN: SIGMA-DELTA MODULATORS WITH A SINGLE AMPLIFIER AND A NOVEL 4-TRANSISTOR -BIT FULL ADDER Alexander Mora-Sanche, Dietmar

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6 E.T.S.E.T.B. MSc in ICT FINAL EXAM VLSI Digital Design Spring Course 2005-2006 June 6, 2006 Score publication date: June 19, 2006 Exam review request deadline: June 22, 2006 Academic consultancy: June

More information

Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators

Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators Veepsa Bhatia Indira Gandhi Delhi Technical University for Women Delhi, India Neeta Pandey Delhi

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

Binary Adders: Half Adders and Full Adders

Binary Adders: Half Adders and Full Adders Binary Adders: Half Adders and Full Adders In this set of slides, we present the two basic types of adders: 1. Half adders, and 2. Full adders. Each type of adder functions to add two binary bits. In order

More information

MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question.

MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. CHAPTER3 QUESTIONS MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. ) If one input of an AND gate is LOW while the other is a clock signal, the output

More information