Shalini Prasad, Ph.D. Department of Electrical and Computer Engineering Biomedical Micro devices and Nanotechnology Lab Portland State University

Size: px
Start display at page:

Download "Shalini Prasad, Ph.D. Department of Electrical and Computer Engineering Biomedical Micro devices and Nanotechnology Lab Portland State University"

Transcription

1 The Top-Down Approach to Nanotechnology Shalini Prasad, Ph.D. Department of Electrical and Computer Engineering Biomedical Micro devices and Nanotechnology Lab Portland State University BIOMEDICAL MICRODEVICES AND NANOTECHNOLGY LAB

2 Theme () Chemical Sensing Platforms Micro/Nano Sensors and Devices Lemay et. al. Nature, 412 (2001) 617 Carbon nanotubes Yamaguchi et. al. Nature.Mat. 3, (2004) Porous 337 Alumina CdSe ZnS Quantum Dots Belcher et. al. Science 303,(2004) 213 Nanowires Bio-electronic interfaces

3 Motivation There is an immense need for sensors with broad based detection capability, rapid response times, automation capability, and portability. Over the past 10 years, there has been growing interest in the use of nanomaterial as sensors in environmental, medical, toxicological, and defense applications. Nanomaterial improve the 3 R s reliability, reproducibility and robustness of the sensor due to improved surface area, increased functionality and amenability towards integration with existing sensor platforms. Development of nanomaterial based sensors can be achieved in off-clean room environments

4 Micromachining and Soft Fabrication

5 Micromachining Materials

6 Soft Fabrication Materials

7 Bulk Micromachining

8 Surface Micromachining Courtesy: Fatikow and Rembolt 1997

9 Mask Creation

10 Silicon Wafer Preparation

11 Thermal Silicon Oxide

12 Thermal Silicon Oxide Methods

13 Spin Casting Resist

14 Resist Types

15 Photolithography Process

16 Photoresist Types

17 UV-Exposure at nm

18 Developing the UV Exposed Wafer

19 Etching Methods

20 Etching Profiles

21 Dry Chemical Etching: Reaction Mechanisms Courtesy: M.Madou, Fundamentals of Microfabrication

22 Dry Chemical Etching: Loading effects- bull s eye

23 Dry Chemical Etching: Ion energy vs. Pressure

24 Reactive Ion Etching

25 Physical Sputtering

26 Sputter Yield

27 Resist Stripping

28 Profilometry

29 Profilometry Graph

30 Energy, Vacuum and Directionality

31 Soft Lithography

32 PDMS Lithography (Silicone)

33 Micro contact Printing (μcp)

34 Micro Transfer Molding (μtm)

35 Micro molding in Capillaries (MIMIC)

36 Smart Polymers and Hydrogels

37 Microelectrode Array (MEA) Technology

38 Planar Microelectrode Array Fabrication Sequence A. PECVD Silicon Nitride Deposition Si 3 N 4 4 D. Platinum Etching Si B. E- beam Platinum Deposition Pt E. Photoresist Removal C. Photoresist Patterning S.Prasad, et. al. J.Biomed.Microdevices.5(2), (2003) 125

39 Prototypes of Microelectrode Arrays that Function as Analysis Platforms 40 μm 2x2 platinum MEA with fibronectin permeation layer used for cell morphological studies 3x3 platinum/titanium MEA used for environmental sensing applications. 200 μm 5x5 microelectrode array comprising of platinum electrodes used for sensing and diagnostic applications.

40 Determination of Electrical Field Distribution on a Microelectrode Array Electrode Strength (V/m) Max Particle Electrode Strength (V/m) Max Electric field distribution on a 4x4 section of the microelectrode array in the absence of micro particles Min Electric field distribution on a 4x4 section of the microelectrode array in the presence of micro particles 20 μm in diameter with a surface charge of -25mV Min

41 Manipulation Of Micro particles

42 Bead Assembly Optical micrograph of a section of the 10x10 microelectrode array comprising of platinum electrodes 80 μm in diameter with 200 μm center-to-center spacing. The geometry of the design allows positive dielectrophoretic traps to develop over the electrodes. 0V Peak to Peak 80 μm Initial random dispersion of 10 μm Polystyrene beads. The beads are functionalized (negatively charged to mimic the membrane of biological cells) in sodium dodecyl sulfate detergentdeionized water solution (SDS). The beads after several wash cycles are re-suspended in detergent free medium 20 μm

43 Bead Assembly as a Cell Patterning Model Negative Dielectrophoresis- Bead Congregation away from electrode edges in regions of low electric fields 1V peak to Peak 1.2 khz Polystyrene beads have lower polarizability as compared to the suspenion medium and get localized are regions of low electric field at the specified parameters Visualization magnification: 2.5x 1V peak to Peak 1.2 khz 80 μm The concentration of the beads is beads/ml. Visualization magnification:8x Equipment: Microzoom Optical Probe Station 20 μm

44 Bead Assembly as a Cell Patterning Model Positive Dielectrophoresis- Bead Congregation towards electrode edges in regions of high electric fields 1.2V peak to Peak 3.8 khz 20 μm Polystyrene beads have higher polarizability as compared to the suspension medium and get localized are regions of high electric field at the specified parameters Visualization magnification: 8x

45 Separation and Positioning of Bio-particles Neurons-Positive and Negative Dielectrophoresis 80 µm Glial Cells Neurons 80 μm 80 μm (A) Random deposition of neurons on electrodes before the application of AC fields, (B) Patterned arraying of neurons on electrode edges on applying an AC field of 8Vpp at 4.6 MHz due to positive dielectrophoresis, (C) Movement of neurons away from electrodes due to negative dielectrophoresis S.Prasad, M. Yang, X. Zhang, C. S. Ozkan and M. Ozkan, Journal of Biomedical Microdevices, 5(2)(2003) 125

46 Cell Sorting Problem Statement: Separate a specific cell type from a hybrid mix based on variations to dielectric properties, surface charge and size for a specific cell type. Goal: Separation with purity ~> 95% Current Technological Limitations: Reproducibility, Speed of separation, volume of separation. Solution: Integrate electric field effects in the micro scale to achieve sorting

47 Sorting Platforms A Planar, angular micro electrode array arrangement for generating point field effects 20 μm B Process involving cell isolation and separation 20 μm

48 Summary Top down fabrication for micro and nanodevices using wet and dry micromachining techniques and nanomaterial integration. Silicon and soft lithography techniques Combination of the two techniques are essential for device development Micro scale platforms base for both micro and nanodevices Applications: Bead assembly, Cell sorting platforms, Drug testing platforms, Biochemical sensors

Focused Ion beam nanopatterning: potential application in photovoltaics

Focused Ion beam nanopatterning: potential application in photovoltaics Focused Ion beam nanopatterning: potential application in photovoltaics Research Infrastructure: Location: FIB-Focused Ion Beam ENEA Portici (Italy) Date March, 26 2013 Speakers: Vera La Ferrara, ENEA

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Study on Wet Etching of AAO Template

Study on Wet Etching of AAO Template Study on Wet Etching of AAO Template Guofeng Hu, Haiming Zhang, Wenwen Di & Tingting Zhao School of Science, Tianjin Polytechnic University, Tianjin 300160, China E-mail: hugf2009@163.com Abstract The

More information

Fabrication Challenges for Point-ofcare Diagnostics and Organ-on-chip

Fabrication Challenges for Point-ofcare Diagnostics and Organ-on-chip Fabrication Challenges for Point-ofcare Diagnostics and Organ-on-chip Zulfiqur Ali (z.ali@tees.ac.uk) Project DIGINOVA: Biomedical Applications for Digital Fabrication, 6 th November 2013, CPI s National

More information

Why silicon MEMS? MEMS@KTH. Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging

Why silicon MEMS? MEMS@KTH. Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging Why silicon MEMS? MEMS@KTH Small Identical Large volumes (low cost per unit) School of Electrical Engineering Royal Institute of Technology Silicon is a strong material... Photolithography 10 µm thick

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

CHEMICAL SENSORS 1. DEFINITION

CHEMICAL SENSORS 1. DEFINITION CHEMICAL SENSORS 1. DEFINITION A chemical sensor is a device that transforms chemical information (composition, presence of a particular element or ion, concentration, chemical activity, partial pressure

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope International Journal of Arts and Sciences 3(1): 18-26 (2009) CD-ROM. ISSN: 1944-6934 InternationalJournal.org Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe Bedri Onur Kucukyildirim,

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

MEMS devices application based testing

MEMS devices application based testing MEMS devices application based testing CEEES Seminar 18-10-2012 RDM Campus Rotterdam NL by Kees Revenberg MASER Engineering Enschede NL Outline Introduction MEMS classification Sensing & Actuating Manufacturing

More information

Over the last 50 years, commercial silicon photovoltaics

Over the last 50 years, commercial silicon photovoltaics Light Trapping in Silicon Nanowire Solar Cells Erik Garnett and Peidong Yang* Department of Chemistry, University of California, Berkeley, California 94720 pubs.acs.org/nanolett ABSTRACT Thin-film structures

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Soft lithography for diffractive microfabrications

Soft lithography for diffractive microfabrications Soft lithography for diffractive microfabrications Liliana D Amico PhD Section: Materials Engineering XXVIII Cycle (3 Year) Mauro Casalboni, Fabio De Matteis, Paolo Prosposito, Roberta De Angelis Summary

More information

一 Development of microchip integrated with electrochemical sensor in conjunction with indium tin oxide electrode

一 Development of microchip integrated with electrochemical sensor in conjunction with indium tin oxide electrode 一 Development of microchip integrated with electrochemical sensor in conjunction with indium tin oxide electrode We developed a microchips integrated with electrochemical sensor which uses indium tin oxide

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Pulsed laser deposition of organic materials

Pulsed laser deposition of organic materials Pulsed laser deposition of organic materials PhD theses Gabriella Kecskeméti Department of Optics and Quantum Electronics University of Szeged Supervisor: Dr. Béla Hopp senior research fellow Department

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Micro-Nano Materials Characterization and Inspection

Micro-Nano Materials Characterization and Inspection Basic 10 Micro-Nano Materials Characterization and Inspection - Evaluation of Electrical l Properties- Prof. Yang Ju Dept. of Mechanical Science and Engineering Nagoya University, Japan Outline 1. The

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

Supporting Information. Rapid Prototyping of Microchannels with Surface Patterns for Fabrication of Polymer Fibers

Supporting Information. Rapid Prototyping of Microchannels with Surface Patterns for Fabrication of Polymer Fibers Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2015 Supporting Information Rapid Prototyping of Microchannels with Surface Patterns for Fabrication

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Eurotraining survey on Microsytems training requirements

Eurotraining survey on Microsytems training requirements Eurotraining survey on Microsytems training requirements Hervé Fanet CEA LETI Annette Locher FSRM Chantal Tardif CEA INSTN Abstract One objective of the Eurotraining MST project is to identify training

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Why Being Small? Savings in time & cost. Disposable Parallel processing Integration/Automation. Gain from the unique microscopic features

Why Being Small? Savings in time & cost. Disposable Parallel processing Integration/Automation. Gain from the unique microscopic features Miniaturization in Electronic Technology ENIAC: the "Electronic Numerical Integrator and Calculator, 1943 ENIAC filled a 20 by 40 feet room, weighed 30 tons, and used more than 18,000 vacuum tubes. iphone

More information

www.keithley.com 1 st Edition Nanotechnology Measurement Handbook A Guide to Electrical Measurements for Nanoscience Applications

www.keithley.com 1 st Edition Nanotechnology Measurement Handbook A Guide to Electrical Measurements for Nanoscience Applications www.keithley.com 1 st Edition Nanotechnology Measurement Handbook A Guide to Electrical Measurements for Nanoscience Applications To get a free electronic version of this book, visit Keithley s Knowledge

More information

Nanocomputer & Architecture

Nanocomputer & Architecture Nanocomputer & Architecture Yingjie Wei Western Michigan University Department of Computer Science CS 603 - Dr. Elise dedonckor Febrary 4 th, 2004 Nanocomputer Architecture Contents Overview of Nanotechnology

More information

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing 1 The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing Jeffrey S. Nelson, Manager Nanostructure Physics Department Center for Integrated Nanotechnologies

More information

E F G. Overview of the activities. SAPIE ZA Università di Roma - Laboratorio di Fotonica Molecolare

E F G. Overview of the activities. SAPIE ZA Università di Roma - Laboratorio di Fotonica Molecolare SAPIE ZA Università di Roma Dipartimento di Energetica Laboratorio di Fotonica Molecolare Francesco Michelotti E-Mail: francesco.michelotti@uniroma1.it Tel: +39 06-49.91.65.62 Workshop Future Trends in

More information

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication Ferroelectrics, 380:1, 97-101, 2009 Reprints available directly from the publisher DOI: 10.1080/00150190902873295 UR L: http://dx.doi.org/10.1080/00150190902873295 2009 Taylor & Francis ISSN: 0015-0193

More information

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight Supercapacitors Supercapacitors also called ultracapacitors and electric double layer capacitors (EDLC) are capacitors with capacitance values greater than any other capacitor type available today. Capacitance

More information

The pole Optique-Rhône. Rhône-Alpes: a booster of innovation in Optics&Photonics

The pole Optique-Rhône. Rhône-Alpes: a booster of innovation in Optics&Photonics The pole Optique-Rhône Rhône-Alpes: a booster of innovation in Optics&Photonics The scientific and industrial forces of Rhône-Alpes region 1 000 20 000 5 400 8 000 1 000 1 000 2 500 1 500 500 2 500 1 500

More information

Phonon Scattering and Thermal Conduction in Nanostructured Semiconductors

Phonon Scattering and Thermal Conduction in Nanostructured Semiconductors Phonon Scattering and Thermal Conduction in Nanostructured Semiconductors David G. Cahill, Joe Feser, Yee Kan Koh Department of Materials Science and Engineering And Materials Research Laboratory University

More information

Biomedical applications of polypyrrole microactuators: from single-cell clinic to microrobots

Biomedical applications of polypyrrole microactuators: from single-cell clinic to microrobots Biomedical applications of polypyrrole microactuators: from single-cell clinic to microrobots Edwin Jager, Charlotte Immerstrand, Karl-Eric Magnusson, Olle Inganäs and Ingemar Lundström Linköping University

More information

Materials for Organic Electronic. Jeremy Burroughes FRS FREng

Materials for Organic Electronic. Jeremy Burroughes FRS FREng Materials for Organic Electronic Applications Jeremy Burroughes FRS FREng Introduction Organic Thin Film Transistors Organic Solar Cells and Photodiodes All Printed OLED Summary 4k2k 56 Displays Panasonic

More information

Projects and R&D activities

Projects and R&D activities Projects and R&D activities J.M. Jimenez On behalf of the Vacuum, Surface and Coatings Group (VSC) Vacuum, Surface and Coatings group* Mandate Design, construction, operation, maintenance and upgrade of

More information

Onur Yavuzçetin Fakultät für Naturwissenschaften Department Physik ATOMIC SCALE NANOWIRES

Onur Yavuzçetin Fakultät für Naturwissenschaften Department Physik ATOMIC SCALE NANOWIRES Onur Yavuzçetin Fakultät für Naturwissenschaften Department Physik ATOMIC SCALE NANOWIRES 1 What is a Nanowire? It is a nanostructure with the diameter of the order of a nanometer (10 9 meters) which is

More information

Modeling, Simulation and Calibration of Silicon Wet Etching

Modeling, Simulation and Calibration of Silicon Wet Etching Modeling, Simulation and Calibration of Silicon Wet Etching Paper Andrzej Kociubiński, Mariusz Duk, Tomasz Bieniek, and Paweł Janus Abstract The methods of parameter optimization in Etch3D TM simulator

More information

Fundamentals and Applications of Microfluidics

Fundamentals and Applications of Microfluidics Fundamentals and Applications of Microfluidics Second Edition Nam-Trung Nguyen Steven T. Wereley ARTECH HOUSE BOSTON LONDON artechhouse.com Contents Preface Acknowledgments xi xiii Chapter 1 Introduction

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems Workshop - Statistical methods applied in microelectronics 13. June 2011, Catholic University of Milan, Milan, Italy Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing

More information

NTNU NanoLab Nanotechnology research at NTNU Trondheim, Norway. Kay Gastinger Director NTNU NanoLab

NTNU NanoLab Nanotechnology research at NTNU Trondheim, Norway. Kay Gastinger Director NTNU NanoLab 1 NTNU NanoLab Nanotechnology research at NTNU Trondheim, Norway Kay Gastinger Director NTNU NanoLab University-level institutions in Norway 14 13 EDUCATION 1) NTNU Norwegian University of Science and

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

PLASMA TECHNOLOGY OVERVIEW

PLASMA TECHNOLOGY OVERVIEW PLASMA TECHNOLOGY OVERVIEW Plasmas are not a lab curiosity. Plasma processing has been an essential production tool for more than 30 years in the fabrication of microelectronic devices for example. Over

More information

Directed Self- Assembly of Block Copolymers; an Alternative Tool for Sub- 20 nm Lithography Parvaneh Mokarian

Directed Self- Assembly of Block Copolymers; an Alternative Tool for Sub- 20 nm Lithography Parvaneh Mokarian Directed Self- Assembly of Block Copolymers; an Alternative Tool for Sub- 20 nm Lithography Parvaneh Mokarian Department of Chemistry, University College Cork (UCC) and Tyndall National Institute, Cork,

More information

Precision manufacturing methods of inserts for injection molding of microfluidic systems.

Precision manufacturing methods of inserts for injection molding of microfluidic systems. Precision manufacturing methods of inserts for injection molding of microfluidic systems. Giuliano Bissacco, Hans N. Hansen, Peter T. Tang & Jimmy Fugl Department of Manufacturing Engineering and Management

More information

Photonic crystal based immunosensor for clinical diagnosis

Photonic crystal based immunosensor for clinical diagnosis 1,4 ID:31132 2 nd year of the PhD in Electronic Devices Official Tutors: Prof. M. De Vittorio 1,2,3, Ing. Tiziana Stomeo 1, Prof. Fabrizio Pirri 4, Prof. Carlo Ricciardi 4 Collaborators: A. Qualtieri 1,

More information

ZETA POTENTIAL ANALYSIS OF NANOPARTICLES

ZETA POTENTIAL ANALYSIS OF NANOPARTICLES ZETA POTENTIAL ANALYSIS OF NANOPARTICLES SEPTEMBER 2012, V 1.1 4878 RONSON CT STE K SAN DIEGO, CA 92111 858-565 - 4227 NANOCOMPOSIX.COM Note to the Reader: We at nanocomposix have published this document

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Novel inkjettable copper ink utilizing processing temperatures under 100 degrees C without the need of inert atmosphere

Novel inkjettable copper ink utilizing processing temperatures under 100 degrees C without the need of inert atmosphere Novel inkjettable copper ink utilizing processing temperatures under 100 degrees C without the need of inert atmosphere Printed Electronics Europe April 7-8, 2009 Dresden, Germany Dr. Zvi Yaniv Applied

More information

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 Self-Assembled Polystyrene Micro-Spheres Applied for Photonic Crystals and Templates Fabrication Daniel S. Raimundo 1, Francisco J.

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

CREOL, College of Optics & Photonics, University of Central Florida

CREOL, College of Optics & Photonics, University of Central Florida OSE6650 - Optical Properties of Nanostructured Materials Optical Properties of Nanostructured Materials Fall 2013 Class 3 slide 1 Challenge: excite and detect the near field Thus far: Nanostructured materials

More information

Design for Microfluidic Device Manufacture Guidelines

Design for Microfluidic Device Manufacture Guidelines Design for Microfluidic Device Manufacture Guidelines Editors: Henne van Heeren (enablingmnt), Peter Hewkin (facilitator of the Microfluidics Consortium) With contributions from the following members of

More information

Emerging new non conventional tools

Emerging new non conventional tools Emerging new non conventional tools Near field lithography Near field lithography Near field lithography through local electrochemistry example of gold a) Surface water condensation b) Monolayer of oxidized

More information

BNG 331 Cell-Tissue Material Interactions. Biomaterial Surfaces

BNG 331 Cell-Tissue Material Interactions. Biomaterial Surfaces BNG 331 Cell-Tissue Material Interactions Biomaterial Surfaces Course update Updated syllabus Homework 4 due today LBL 5 Friday Schedule for today: Chapter 8 Biomaterial surface characterization Surface

More information

ISOLATION AND PROPERTIES OF SECRETORY GRANULES FROM RAT ISLETS OF LANGERHANS. II. Ultrastructure of the Beta Granule

ISOLATION AND PROPERTIES OF SECRETORY GRANULES FROM RAT ISLETS OF LANGERHANS. II. Ultrastructure of the Beta Granule ISOLATION AND PROPERTIES OF SECRETORY GRANULES FROM RAT ISLETS OF LANGERHANS II Ultrastructure of the Beta Granule MARIE H GREIDER, S L HOWELL, and P E LACY From the Department of Pathology, Washington

More information

Nanofabrication using anodic alumina templates. IFIMUP and IN Institute of Nanoscience and Nanotechnology

Nanofabrication using anodic alumina templates. IFIMUP and IN Institute of Nanoscience and Nanotechnology Nanofabrication using anodic alumina templates João Pedro Araújo IFIMUP and IN Institute of Nanoscience and Nanotechnology Outline Template based nanofabrication Nanoporous alumina templates Template filling

More information