Xilinx Zynq-7000 EPP An Extensible Processing Platform Family

Size: px
Start display at page:

Download "Xilinx Zynq-7000 EPP An Extensible Processing Platform Family"

Transcription

1 Xilinx Zynq-7000 EPP An Extensible Processing Platform Family Vidya Rajagopalan, Vamsi Boppana, Sandeep Dutta, Brad Taylor, Ralph Wittig August 18, 2011

2 Agenda Xilinx Series 7 Highlights Zynq-7000 EPP Architecture & Silicon Zynq-7000 Software & Applications Summary Page 2

3 Xilinx 7 Series Highlights 7 Series silicon devices 28 nm Technology, TSMC HPL process 50% reduction in power over 40 nm devices 3 FPGA Fabrics Artix = Low cost, low power FPGA ( 1W FPGA ) Design Green by Xilinx Kintex = Density & performance FPGA ( Market Sweet spot ) Virtex = Highest density and performance FPGA ( More than Moore ) More than Moore density increase Up to 2M logic cells Using Stacked Silicon Interconnect Technology (SSIT) Improved GT bandwidth GT bandwidth increased to 28 GHz Zynq Embedded Processing Platform (EPP) Page 3

4 More Than Moore Xilinx Stacked Silicon Interconnect Technology Microbumps Access to power / ground / IOs Access to logic regions Leverages ubiquitous image sensor Through-silicon micro-bump technology Vias (TSV) Only bridge power / ground / IOs to C4 bumps Coarse pitch, low density aids manufacturability Etch process (not laser drilled) Passive Silicon Interposer (65nm Generation) 4 conventional metal layers connect micro bumps & TSVs No transistors means low risk and no TSV induced performance degradation Side-by-Side Die Layout Minimal heat flux issues Minimal design tool flow impact 28nm FPGA Slice 28nm FPGA Slice 28nm FPGA Slice 28nm FPGA Slice Microbumps Silicon Interposer Through Silicon Vias Package Substrate C4 Bumps BGA Balls Page 4

5 Agenda Xilinx Series 7 Highlights Zynq-7000 EPP Architecture & Silicon Zynq-7000 Software & Applications Summary Page 5

6 Zynq-7020 Device Processor System (PS) ARM Cortex-A9 MPcore Standard Peripherals 32-bit DDR3 / LPDDR2 controller 54 Multi-Use IOs 73 DDR IOs Programmable Logic (PL) 85 K Logic Cells 106K FFs Kb Block RAM 220 DSP Blocks Dual 12-bit ADC Secure configuration engine 4 Clock Management Tiles 200 Select IO ( V) Secure Configuration Processor System Processor System Programmable Logic Select IOs DSP BRAM XADC CMT Page 6

7 Zynq-7000 Device Family Zynq-7000 EPP Devices Z-7010 Z-7020 Z-7030 Z-7040 Processing System Processor Core Processor Extensions Max Frequency Memory External Memory Support Peripherals Dual ARM Cortex -A9 MPCore NEON & Single / Double Precision Floating Point 800MHz L1 Cache 32KB I / D, L2 Cache 512KB, on-chip Memory 256KB DDR3, DDR2, LPDDR2, 2x QSPI, NAND, NOR 2x USB 2.0 (OTG), 2x Tri-mode Gigabit Ethernet, 2x SD/SDIO, 2x UART, 2x CAN 2.0B, 2x I2C, 2x SPI, 4x 32b GPIO Programmable Logic I/O Approximate ASIC Gates ~430K (30k LC) ~1.3M (85k LC) ~1.9M (125k LC) ~3.5M (235k LC) Extensible Block RAM 240KB 560KB 1,060KB 1,860KB Peak DSP Performance (Symmetric FIR) 58 GMACS 158 GMACS 480 GMACS 912 GMACS PCI Express (Root Complex or Endpoint) - Gen2 x4 Gen2 x8 Agile Mixed Signal (XADC) 2x 12bit 1Msps A/D Converter Processor System IO 130 Multi Standards 3.3V IO Multi Standards High Performance 1.8V IO Multi Gigabit Transceivers Page 7

8 Zynq-7000 Processor System (PS) Dual Core Cortex ARM A9 NEON, 512 KB L2 cache 256 KB On-Chip-Memory (OCM) DDR Interface DDR3 Performance High BW utilization Config & Legacy Memory I/F Quad-SPI, NOR, NAND Standard Peripherals GigE Available to PS IO or to Programmable Logic System Level Peripherals Clock generation, Counter Timers 8 Channel DMA controller Coresight Debugging PS Peripherals can be multiplexed onto 54 external Multi-Use-IOs (MIO) MIO[53:0] GigE (2) USB (2) SPI (2) I2C (2) UART (2) CAN (2) GPIO PLL(3) Coresight Config Config PS Peripherals can also be routed through Security the Programmable XADC Logic Zynq 7000 EPP Processing System Cortex A9 NEON 32KB I$/D$ SCU Cortex A9 NEON 32KB I$/D$ Timers, AWDT, GIC, ACP L2 Cache 512KB OCM 256 KB DMA TTC SWDT AMBA AXI Interconnect Programmable Logic Quad SPI CTRL Parallel CTRL NAND CTRL DDR CTRL SDIO (2) General Purpose ACP High Performance Select IO GTs PCIe LPDDR2 DDR2 DDR3 32-bit NOR NAND Quad-SPI Page 8

9 Zynq-7000 Programmable Logic (PL) Programmable Logic Resources 30K 235 K Logic Cells Dedicated 36 K-bit BRAMs, DSP, CMT XADC dual channel 12-bit ADC Up to 12 GTs with PCIe hard core Up to 300 Select IOs Programmable Logic AXI Interfaces Multiple 32/64 bit AXI interfaces to PL Accelerator Coherency Port (ACP) with access to caches Programmable Logic System Interfaces Interrupts, DMA control Debug High Performance PL Configuration Security Decryption Engine Under 200 ms configuration time from flash Debugging interfaces GigE (2) USB (2) SPI (2) I2C (2) UART (2) CAN (2) GPIO PLL(3) Coresight Config Config Security XADC Zynq 7000 EPP Processing System Cortex A9 NEON 32KB I$/D$ SCU Cortex A9 NEON 32KB I$/D$ Timers, AWDT, GIC, ACP L2 Cache 512KB OCM 256 KB DMA TTC SWDT AMBA AXI Interconnect Programmable Logic Quad SPI CTRL Parallel CTRL NAND CTRL DDR CTRL SDIO (2) General Purpose ACP High Performance Select IO GTs PCIe DDR3 32-bit 4-8 GB/sec Page 9

10 Customizing Zynq Tools for the Programmable Logic System Builder Clocking Flexible clock sources (PS or PL) Simple clock interfaces Memory and Peripheral access PL access to all memory: Caches, OCM, DDR 2 dedicated DDR ports ensure bandwidth PL access to all peripherals in PS Interconnect AXI Interconnect IP available from Xilinx Optimized for FPGA implementation Debug and Misc. Bidirectional cross-triggers (Coresight and Chipscope) 16 general purpose interrupts from PL to PS Simple Clock Interfaces Relative Latency D Q Q D To PL Zynq-7000 DDR Utilization vs Latency 0.0 0% 20% 40% 60% 80% 100% DDR Utilization PL clock From PL Page 10

11 SW managed Programmable Logic (PL) Linux based, remote controlled, programmable logic SW user experience: SoC with integrated PL Configure PL (full and partial) Start/stop & single step clocks Setup & update HW triggers Monitor HW performance counters Observe & sync to PL hardware events PS ARM Coresight H/W Events Cross Trigger PL Config clocks Page 11

12 Zynq-7000 Power Saving Features Low power 1.0V HPL 28 nm process silicon technology Programmable Logic can be powered off and on as needed 40-90% reduction in static power depending on device Very fast configuration times when loaded from DRAM Low power ARM Cortex-A9 MP Incorporates clock gating and power-down modes Support for LPDDR2 devices Ultra low power self refresh Peripherals shutdown Design Green by Xilinx Page 12

13 Engineering Insights Process Selection Criteria Power Frequency Process Considerations: 28 HP: Highest Performance HKMG Process (but must be able to afford power; e.g. GPU) 28 HPL: Low power HKMG process (shifts down HP power / performance range) 28 LP: No HKMG low power process (cheaper than HPL, but less performance) Xilinx Reasons for Selecting HPL: Higher performance than LP (at same power level) Higher performance vs HP at FPGA TDP (or lower power at same performance) Power Frequency Page 13

14 Engineering Insights Finding The Frequency Sweet Spot (within the HPL Process) Normalized Power vs. Frequency Worst Setup -40c Hold Timing Histograms Vt usage Typical High Vt Med Vt Low Vt Page 14 Delay Variation Normalized Path Delay Max Nom Min

15 Engineering Insights Configuring Interconnect CPU: CPU 800 MHz FPGA 200 Mhz Switch OCM 400 MHz FPGA: OCM: stalled CPU: CPU 800 MHz FPGA 200 Mhz Switch Threshold OCM 400 MHz FPGA: OCM: release Copyright Copyright Xilinx Xilinx

16 Agenda Xilinx Series 7 Highlights Zynq-7000 EPP Architecture & Silicon Zynq-7000 Software & Applications Summary Page 16

17 Zynq-7000 Use Cases #1 #2 #3 Embedded Control Fabric Datapath SW Acceleration ARM CPU ARM CPU ARM CPU Memory Peripheral Peripheral Datapath Accelerator Ex: Motor Control Ex: Static Video Stream Ex: Interactive Image Processing Use Case #1 Access peripheral configuration registers Use Case #2 Access datapath configuration registers Access datapath memory (coefficient tables) Use Case #3 Low latency/high bandwidth shared work spaces Move data between SW and HW domains Page 17

18 Application Programming Using Only C Application C/C++ Device Information SW-Centric Design Environment High-Level Synthesis via AutoESL Binary for CPU Bitstream for PL fabric CPU Memory Data Movement Interconnect FPGA Fabric Video Codec Encryption LTE Modem

19 AutoESL Generated Accelerators C-Based, High-Level Synthesis Tools at Xilinx Application Example: Back Projection Algorithm (recreate CT scan images from samples) gprof Locate SW hot spot function(s) on ARM AutoESL Synthesize hot spot function(s) to HW/PL 52 Floating Point 200Mhz Fits in lowest cost Zynq 7010 device 3X Performance vs SW only Page 19

20 Engineering Insights Data Movement Gotchas Processor Host Program Engine Matrix Mult Memory 1 Memory 2 Memory 3 Using CPU Programmed IO IO dominates accelerator compute time Page 20

21 Engineering Insights Data Movement Gotchas Processor Engine Memory 1 Memory 2 Memory 3 Using DMA DMA setup time dominates (white space between green bars) Page 21

22 Xilinx Evolution Towards Multicore Legacy Logic BRAM DSP Glue MicroBlaze ub ub acc1 acc2 Soft Multi-Core + Accelerators ZYNQ Dual A9 acc1 acc2 GPP + Accelerators Future Zynq Next Gen GPP MC Array PE PE PE PE acc1 acc2 GPP + Multicore + Soft Processing Engine + Accelerators Multicore programming models being ported to Zynq Page 22

23 Agenda Xilinx Series 7 Highlights Zynq 7000 EPP Architecture & Silicon Zynq 7000 Software & Applications Summary Page 23

24 Summary Zynq SoC Device Family with Integrated Programmable Logic $15 Price Point* / 28nm Fab Process Microcontroller and Accelerator Use Models Industry Standard Tools (ARM Ecosystem, Android, ISE) Emerging Tools (AutoESL, Multicore) Emulation platforms in use for prototyping Available 1H 2012 Android on Zynq emulation board Source: iveia LLC Page 24 * High volume price for smallest device and package, slowest speed grade

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters

FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Clusters Rene Griessl, Peykanu Meysam, Jens Hagemeyer, Mario Porrmann Bielefeld University, Germany Stefan Krupop, Micha

More information

7 Series FPGA Overview

7 Series FPGA Overview 7 Series FPGA Overview 7 Series FPGA Families Maximum Capability Lowest Power and Cost Industry s Best Price/Performance Industry s Highest System Performance Logic Cells Block RAM DSP Slices Peak DSP

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

System Design Issues in Embedded Processing

System Design Issues in Embedded Processing System Design Issues in Embedded Processing 9/16/10 Jacob Borgeson 1 Agenda What does TI do? From MCU to MPU to DSP: What are some trends? Design Challenges Tools to Help 2 TI - the complete system The

More information

System Performance Analysis of an All Programmable SoC

System Performance Analysis of an All Programmable SoC XAPP1219 (v1.1) November 5, 2015 Application Note: Zynq-7000 AP SoC System Performance Analysis of an All Programmable SoC Author: Forrest Pickett Summary This application note educates users on the evaluation,

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

Model-based system-on-chip design on Altera and Xilinx platforms

Model-based system-on-chip design on Altera and Xilinx platforms CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT Model-based system-on-chip design on Altera and Xilinx platforms Ronald Grootelaar, System Architect RJA.Grootelaar@3t.nl Agenda 3T Company profile Technology

More information

Reconfigurable System-on-Chip Design

Reconfigurable System-on-Chip Design Reconfigurable System-on-Chip Design MITCHELL MYJAK Senior Research Engineer Pacific Northwest National Laboratory PNNL-SA-93202 31 January 2013 1 About Me Biography BSEE, University of Portland, 2002

More information

Hybrid Platform Application in Software Debug

Hybrid Platform Application in Software Debug Hybrid Platform Application in Software Debug Jiao Feng July 15 2015.7.15 Software costs in SoC development 2 Early software adoption Previous Development Process IC Development RTL Design Physical Design

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

Extending the Power of FPGAs. Salil Raje, Xilinx

Extending the Power of FPGAs. Salil Raje, Xilinx Extending the Power of FPGAs Salil Raje, Xilinx Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Attention. restricted to Avnet s X-Fest program and Avnet employees. Any use

Attention. restricted to Avnet s X-Fest program and Avnet employees. Any use Attention The Content material is contained copyright in by this its presentation original authors, is the property and is used of Avnet by Electronics permission. Marketing. This compendium Use of this

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Bare-Metal, RTOS, or Linux? Optimize Real-Time Performance with Altera SoCs

Bare-Metal, RTOS, or Linux? Optimize Real-Time Performance with Altera SoCs WP-01245-1.0 Abstract This white paper examines various methods for optimizing real-time performance on Altera SoCs, which integrate an FPGA and applications processor into a single chip. Standard software

More information

High Performance or Cycle Accuracy?

High Performance or Cycle Accuracy? CHIP DESIGN High Performance or Cycle Accuracy? You can have both! Bill Neifert, Carbon Design Systems Rob Kaye, ARM ATC-100 AGENDA Modelling 101 & Programmer s View (PV) Models Cycle Accurate Models Bringing

More information

Accelerate Cloud Computing with the Xilinx Zynq SoC

Accelerate Cloud Computing with the Xilinx Zynq SoC X C E L L E N C E I N N E W A P P L I C AT I O N S Accelerate Cloud Computing with the Xilinx Zynq SoC A novel reconfigurable hardware accelerator speeds the processing of applications based on the MapReduce

More information

WiSER: Dynamic Spectrum Access Platform and Infrastructure

WiSER: Dynamic Spectrum Access Platform and Infrastructure WiSER: Dynamic Spectrum Access Platform and Infrastructure I. Seskar, D. Grunwald, K. Le, P. Maddala, D. Sicker, D. Raychaudhuri Rutgers, The State University of New Jersey University of Colorado, Boulder

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

A Scalable VISC Processor Platform for Modern Client and Cloud Workloads

A Scalable VISC Processor Platform for Modern Client and Cloud Workloads A Scalable VISC Processor Platform for Modern Client and Cloud Workloads Mohammad Abdallah Founder, President and CTO Soft Machines Linley Processor Conference October 7, 2015 Agenda Soft Machines Background

More information

Building Blocks for PRU Development

Building Blocks for PRU Development Building Blocks for PRU Development Module 1 PRU Hardware Overview This session covers a hardware overview of the PRU-ICSS Subsystem. Author: Texas Instruments, Sitara ARM Processors Oct 2014 2 ARM SoC

More information

ZigBee Technology Overview

ZigBee Technology Overview ZigBee Technology Overview Presented by Silicon Laboratories Shaoxian Luo 1 EM351 & EM357 introduction EM358x Family introduction 2 EM351 & EM357 3 Ember ZigBee Platform Complete, ready for certification

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

FPGA Design From Scratch It all started more than 40 years ago

FPGA Design From Scratch It all started more than 40 years ago FPGA Design From Scratch It all started more than 40 years ago Presented at FPGA Forum in Trondheim 14-15 February 2012 Sven-Åke Andersson Realtime Embedded 1 Agenda Moore s Law Processor, Memory and Computer

More information

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs This tutorial is an introduction to Embedded System development with the MicroBlaze soft processor and low

More information

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey A Survey on ARM Cortex A Processors Wei Wang Tanima Dey 1 Overview of ARM Processors Focusing on Cortex A9 & Cortex A15 ARM ships no processors but only IP cores For SoC integration Targeting markets:

More information

SABRE Lite Development Kit

SABRE Lite Development Kit SABRE Lite Development Kit Freescale i.mx 6Quad ARM Cortex A9 processor at 1GHz per core 1GByte of 64-bit wide DDR3 @ 532MHz UART, USB, Ethernet, CAN, SATA, SD, JTAG, I2C Three Display Ports (RGB, LVDS

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Easy H.264 video streaming with Freescale's i.mx27 and Linux

Easy H.264 video streaming with Freescale's i.mx27 and Linux Libre Software Meeting 2009 Easy H.264 video streaming with Freescale's i.mx27 and Linux July 8th 2009 LSM, Nantes: Easy H.264 video streaming with i.mx27 and Linux 1 Presentation plan 1) i.mx27 & H.264

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

Introduction to AMBA 4 ACE and big.little Processing Technology

Introduction to AMBA 4 ACE and big.little Processing Technology Introduction to AMBA 4 and big.little Processing Technology Ashley Stevens Senior FAE, Fabric and Systems June 6th 2011 Updated July 29th 2013 Page 1 of 15 Why AMBA 4? The continual requirement for more

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

ARM Processors and the Internet of Things. Joseph Yiu Senior Embedded Technology Specialist, ARM

ARM Processors and the Internet of Things. Joseph Yiu Senior Embedded Technology Specialist, ARM ARM Processors and the Internet of Things Joseph Yiu Senior Embedded Technology Specialist, ARM 1 Internet of Things is a very Diverse Market Human interface Location aware MEMS sensors Smart homes Security,

More information

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 Features ARM Cortex-A8 processor, 800MHz Xilinx Spartan-6 FPGA expands vision processing capabilities Dual MIPI CSI-2 CMOS camera ports,

More information

OpenSoC Fabric: On-Chip Network Generator

OpenSoC Fabric: On-Chip Network Generator OpenSoC Fabric: On-Chip Network Generator Using Chisel to Generate a Parameterizable On-Chip Interconnect Fabric Farzad Fatollahi-Fard, David Donofrio, George Michelogiannakis, John Shalf MODSIM 2014 Presentation

More information

Am186ER/Am188ER AMD Continues 16-bit Innovation

Am186ER/Am188ER AMD Continues 16-bit Innovation Am186ER/Am188ER AMD Continues 16-bit Innovation 386-Class Performance, Enhanced System Integration, and Built-in SRAM Problem with External RAM All embedded systems require RAM Low density SRAM moving

More information

Pre-tested System-on-Chip Design. Accelerates PLD Development

Pre-tested System-on-Chip Design. Accelerates PLD Development Pre-tested System-on-Chip Design Accelerates PLD Development March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Pre-tested

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Zynq SATA Storage Extension (Zynq SSE) - NAS. Technical Brief 20140501 from Missing Link Electronics:

Zynq SATA Storage Extension (Zynq SSE) - NAS. Technical Brief 20140501 from Missing Link Electronics: Technical Brief 20140501 from Missing Link Electronics: Zynq SSE for Network-Attached Storage for the Avnet Mini-ITX For the evaluation of Zynq SSE MLE supports two separate hardware platforms: The Avnet

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

Kirchhoff Institute for Physics Heidelberg

Kirchhoff Institute for Physics Heidelberg Kirchhoff Institute for Physics Heidelberg Norbert Abel FPGA: (re-)configuration and embedded Linux 1 Linux Front-end electronics based on ADC and digital signal processing Slow control implemented as

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016 KAL - Large IP Cores: Memory Controllers: SD/SDIO 2.0/3.0 Controller SDRAM Controller DDR/DDR2/DDR3 SDRAM Controller NAND Flash Controller Flash/EEPROM/SRAM Controller Dear , Concept Engineering

More information

FPGAs in Next Generation Wireless Networks

FPGAs in Next Generation Wireless Networks FPGAs in Next Generation Wireless Networks March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation

More information

XA Zynq-7000 All Programmable SoC Overview

XA Zynq-7000 All Programmable SoC Overview XA Zynq-7000 All Programmable SoC Overview Product Specification XA Zynq-7000 All Programmable SoC First Generation Architecture The XA Zynq -7000 Automotive family is based on the Xilinx All Programmable

More information

Hardware accelerated Virtualization in the ARM Cortex Processors

Hardware accelerated Virtualization in the ARM Cortex Processors Hardware accelerated Virtualization in the ARM Cortex Processors John Goodacre Director, Program Management ARM Processor Division ARM Ltd. Cambridge UK 2nd November 2010 Sponsored by: & & New Capabilities

More information

Data Center and Cloud Computing Market Landscape and Challenges

Data Center and Cloud Computing Market Landscape and Challenges Data Center and Cloud Computing Market Landscape and Challenges Manoj Roge, Director Wired & Data Center Solutions Xilinx Inc. #OpenPOWERSummit 1 Outline Data Center Trends Technology Challenges Solution

More information

Simplifying Embedded Hardware and Software Development with Targeted Reference Designs

Simplifying Embedded Hardware and Software Development with Targeted Reference Designs White Paper: Spartan-6 and Virtex-6 FPGAs WP358 (v1.0) December 8, 2009 Simplifying Embedded Hardware and Software Development with Targeted Reference Designs By: Navanee Sundaramoorthy FPGAs are becoming

More information

ARM Processors for Computer-On-Modules. Christian Eder Marketing Manager congatec AG

ARM Processors for Computer-On-Modules. Christian Eder Marketing Manager congatec AG ARM Processors for Computer-On-Modules Christian Eder Marketing Manager congatec AG COM Positioning Proprietary Modules Qseven COM Express Proprietary Modules Small Module Powerful Module No standard feature

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

AppliedMicro Trusted Management Module

AppliedMicro Trusted Management Module AppliedMicro Trusted Management Module Majid Bemanian, Sr. Director of Marketing, Applied Micro Processor Business Unit July 12, 2011 Celebrating 20 th Anniversary of Power Architecture 1 AppliedMicro

More information

Network connectivity controllers

Network connectivity controllers Network connectivity controllers High performance connectivity solutions Factory Automation The hostile environment of many factories can have a significant impact on the life expectancy of PCs, and industrially

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Atmel SMART ARM Core-based Embedded Microprocessors

Atmel SMART ARM Core-based Embedded Microprocessors Atmel SMART ARM Core-based Embedded Microprocessors High Performance, Power Efficient, Easy to Use Atmel SMART SAMA5 ARM Cortex-A5 MPUs Core Sub-System Memory Connectivity Device Name Core VFPU / NEON

More information

Which ARM Cortex Core Is Right for Your Application: A, R or M?

Which ARM Cortex Core Is Right for Your Application: A, R or M? Which ARM Cortex Core Is Right for Your Application: A, R or M? Introduction The ARM Cortex series of cores encompasses a very wide range of scalable performance options offering designers a great deal

More information

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw Feb. 2013 Course Overview

More information

System Considerations

System Considerations System Considerations Interfacing Performance Power Size Ease-of Use Programming Interfacing Debugging Cost Device cost System cost Development cost Time to market Integration Peripherals Different Needs?

More information

Memory Architecture and Management in a NoC Platform

Memory Architecture and Management in a NoC Platform Architecture and Management in a NoC Platform Axel Jantsch Xiaowen Chen Zhonghai Lu Chaochao Feng Abdul Nameed Yuang Zhang Ahmed Hemani DATE 2011 Overview Motivation State of the Art Data Management Engine

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

Use-Case Power Management Optimization: Identifying & Tracking Key Power Indicators ELC- E Edimburgh, 2013-10- 24 Patrick Ti:ano

Use-Case Power Management Optimization: Identifying & Tracking Key Power Indicators ELC- E Edimburgh, 2013-10- 24 Patrick Ti:ano Use-Case Power Management Optimization: Identifying & Tracking Key Power Indicators ELC- E Edimburgh, 2013-10- 24 Patrick Ti:ano DRAFT!!! This is a draft version of the presentation Practical examples

More information

Going Linux on Massive Multicore

Going Linux on Massive Multicore Embedded Linux Conference Europe 2013 Going Linux on Massive Multicore Marta Rybczyńska 24th October, 2013 Agenda Architecture Linux Port Core Peripherals Debugging Summary and Future Plans 2 Agenda Architecture

More information

FPGA Accelerator Virtualization in an OpenPOWER cloud. Fei Chen, Yonghua Lin IBM China Research Lab

FPGA Accelerator Virtualization in an OpenPOWER cloud. Fei Chen, Yonghua Lin IBM China Research Lab FPGA Accelerator Virtualization in an OpenPOWER cloud Fei Chen, Yonghua Lin IBM China Research Lab Trend of Acceleration Technology Acceleration in Cloud is Taking Off Used FPGA to accelerate Bing search

More information

Standardization with ARM on COM Qseven. Zeljko Loncaric, Marketing engineer congatec

Standardization with ARM on COM Qseven. Zeljko Loncaric, Marketing engineer congatec Standardization with ARM on COM Qseven Zeljko Loncaric, Marketing engineer congatec overview COM concept and ARM positioning ARM vendor and standard decision Freescale ARM COM on Qseven conga-qmx6 mulitmedia

More information

BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA

BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA AGENDA INTRO TO BEAGLEBONE BLACK HARDWARE & SPECS CORTEX-A8 ARMV7 PROCESSOR PROS & CONS VS RASPBERRY PI WHEN TO USE BEAGLEBONE BLACK Single

More information

Architectures, Processors, and Devices

Architectures, Processors, and Devices Architectures, Processors, and Devices Development Article Copyright 2009 ARM Limited. All rights reserved. ARM DHT 0001A Development Article Copyright 2009 ARM Limited. All rights reserved. Release Information

More information

STM32 F-2 series High-performance Cortex-M3 MCUs

STM32 F-2 series High-performance Cortex-M3 MCUs STM32 F-2 series High-performance Cortex-M3 MCUs STMicroelectronics 32-bit microcontrollers, 120 MHz/150 DMIPS with ART Accelerator TM and advanced peripherals www.st.com/mcu STM32 F-2 series The STM32

More information

NORTHEASTERN UNIVERSITY Graduate School of Engineering. Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware

NORTHEASTERN UNIVERSITY Graduate School of Engineering. Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware NORTHEASTERN UNIVERSITY Graduate School of Engineering Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware Author: Jonathon Pendlum Department: Electrical and Computer Engineering

More information

ARM Cortex STM series

ARM Cortex STM series ARM Cortex board 1 ARM Cortex STM series 2 STM32 Series 3 Abbreviation FS full speed HS high speed MC motor controller MSI multi speed internal oscillator RNG random number generator SDIO secure digital

More information

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links Filippo Costa on behalf of the ALICE DAQ group DATE software 2 DATE (ALICE Data Acquisition and Test Environment) ALICE is a

More information

Virtualized Execution and Management of Hardware Tasks on a Hybrid ARM-FPGA Platform

Virtualized Execution and Management of Hardware Tasks on a Hybrid ARM-FPGA Platform J Sign Process Syst (2014) 77:61 76 DOI 10.1007/s11265-014-0884-1 Virtualized Execution and Management of Hardware Tasks on a Hybrid ARM-FPGA Platform Abhishek Kumar Jain Khoa Dang Pham Jin Cui Suhaib

More information

LSI SAS inside 60% of servers. 21 million LSI SAS & MegaRAID solutions shipped over last 3 years. 9 out of 10 top server vendors use MegaRAID

LSI SAS inside 60% of servers. 21 million LSI SAS & MegaRAID solutions shipped over last 3 years. 9 out of 10 top server vendors use MegaRAID The vast majority of the world s servers count on LSI SAS & MegaRAID Trust us, build the LSI credibility in storage, SAS, RAID Server installed base = 36M LSI SAS inside 60% of servers 21 million LSI SAS

More information

VPX Implementation Serves Shipboard Search and Track Needs

VPX Implementation Serves Shipboard Search and Track Needs VPX Implementation Serves Shipboard Search and Track Needs By: Thierry Wastiaux, Senior Vice President Interface Concept Defending against anti-ship missiles is a problem for which high-performance computing

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

OpenPOWER Outlook AXEL KOEHLER SR. SOLUTION ARCHITECT HPC

OpenPOWER Outlook AXEL KOEHLER SR. SOLUTION ARCHITECT HPC OpenPOWER Outlook AXEL KOEHLER SR. SOLUTION ARCHITECT HPC Driving industry innovation The goal of the OpenPOWER Foundation is to create an open ecosystem, using the POWER Architecture to share expertise,

More information

Embedded Linux RADAR device

Embedded Linux RADAR device Embedded Linux Conference Europe 2012 (Barcelona - November 5-7) Embedded Linux RADAR device Taking advantage on Linaro tools and HTML5 AJAX real-time visualization Agustí FONTQUERNI GORCHS af@iseebcn.com

More information

Eureka Technology. Understanding SD, SDIO and MMC Interface. by Eureka Technology Inc. May 26th, 2011. Copyright (C) All Rights Reserved

Eureka Technology. Understanding SD, SDIO and MMC Interface. by Eureka Technology Inc. May 26th, 2011. Copyright (C) All Rights Reserved Understanding SD, SDIO and MMC Interface by Eureka Technology Inc. May 26th, 2011 Copyright (C) All Rights Reserved Copyright by Eureka Technology Inc. All Rights Reserved Introduction This white paper

More information

How to Use Interrupts on the Zynq SoC

How to Use Interrupts on the Zynq SoC XPLANATION: FPGA 101 How to Use Interrupts on the Zynq SoC by Adam P. Taylor Head of Engineering Systems e2v Technologies aptaylor@theiet.org 38 Xcell Journal Second Quarter 2014 Real-time computing often

More information

Debug and Trace for Multicore SoCs How to build an efficient and effective debug and trace system for complex, multicore SoCs

Debug and Trace for Multicore SoCs How to build an efficient and effective debug and trace system for complex, multicore SoCs Debug and Trace for Multicore SoCs How to build an efficient and effective debug and trace system for complex, multicore SoCs William Orme September 2008 Abstract As SoC designs become ever more complex

More information

High-Performance, Highly Secure Networking for Industrial and IoT Applications

High-Performance, Highly Secure Networking for Industrial and IoT Applications High-Performance, Highly Secure Networking for Industrial and IoT Applications Table of Contents 2 Introduction 2 Communication Accelerators 3 Enterprise Network Lineage Features 5 Example applications

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Dr. Ambar Sarkar Kaushal Modi Janak Patel Bhavin Patel Ajay Tiwari Accellera Systems Initiative 1 Agenda Introduction Challenges Why

More information

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC Nutaq PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET QUEBEC I MONTREAL I N E W YO R K I nutaq.com Nutaq PicoDigitizer 125-Series The PicoDigitizer 125-Series

More information

Video/Cameras, High Bandwidth Data Handling on imx6 Cortex-A9 Single Board Computer

Video/Cameras, High Bandwidth Data Handling on imx6 Cortex-A9 Single Board Computer Video/Cameras, High Bandwidth Data Handling on imx6 Cortex-A9 Single Board Computer The SBC4661 is a powerful 1 GHz Quad Core Cortex-A9 with multiple video ports, quad USB3.0 and dual GigE Ethernet. Using

More information

DDR subsystem: Enhancing System Reliability and Yield

DDR subsystem: Enhancing System Reliability and Yield DDR subsystem: Enhancing System Reliability and Yield Agenda Evolution of DDR SDRAM standards What is the variation problem? How DRAM standards tackle system variability What problems have been adequately

More information

Copyright 2013, Oracle and/or its affiliates. All rights reserved.

Copyright 2013, Oracle and/or its affiliates. All rights reserved. 1 Oracle SPARC Server for Enterprise Computing Dr. Heiner Bauch Senior Account Architect 19. April 2013 2 The following is intended to outline our general product direction. It is intended for information

More information

Full Power Domain SLCR (FPD_SLCR)

Full Power Domain SLCR (FPD_SLCR) Full Power Domain SLCR (FPD_SLCR) Module Name Base Address Description Vendor Info Register Summary Full Power Domain SLCR (FPD_SLCR) 0xFD610000 FPD_SLCR Global system level control registers for the full

More information

Chapter 1 Computer System Overview

Chapter 1 Computer System Overview Operating Systems: Internals and Design Principles Chapter 1 Computer System Overview Eighth Edition By William Stallings Operating System Exploits the hardware resources of one or more processors Provides

More information

Chapter 13. PIC Family Microcontroller

Chapter 13. PIC Family Microcontroller Chapter 13 PIC Family Microcontroller Lesson 01 PIC Characteristics and Examples PIC microcontroller characteristics Power-on reset Brown out reset Simplified instruction set High speed execution Up to

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

Scaling from Datacenter to Client

Scaling from Datacenter to Client Scaling from Datacenter to Client KeunSoo Jo Sr. Manager Memory Product Planning Samsung Semiconductor Audio-Visual Sponsor Outline SSD Market Overview & Trends - Enterprise What brought us to NVMe Technology

More information

FPGA Music Project. Matthew R. Guthaus. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc.

FPGA Music Project. Matthew R. Guthaus. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc.edu Biographic Info 2006 PhD, University of Michigan in Electrical Engineering 2003-2005 Statistical Physical

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

PCI Express and Storage. Ron Emerick, Sun Microsystems

PCI Express and Storage. Ron Emerick, Sun Microsystems Ron Emerick, Sun Microsystems SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA. Member companies and individuals may use this material in presentations and literature

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

PCI Express Impact on Storage Architectures and Future Data Centers. Ron Emerick, Oracle Corporation

PCI Express Impact on Storage Architectures and Future Data Centers. Ron Emerick, Oracle Corporation PCI Express Impact on Storage Architectures and Future Data Centers Ron Emerick, Oracle Corporation SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA. Member companies

More information