CHEMICAL WET ETCHING OF SILICON WAFERS FROM A MIXTURE OF CONCENTRATED ACIDS

Size: px
Start display at page:

Download "CHEMICAL WET ETCHING OF SILICON WAFERS FROM A MIXTURE OF CONCENTRATED ACIDS"

Transcription

1 Advanced Materials Research Vols (2011) pp Online available since 2011/Jun/30 at (2011) Trans Tech Publications, Switzerland doi: / CHEMICAL WET ETCHING OF SILICON WAFERS FROM A MIXTURE OF CONCENTRATED ACIDS M. R. Ismail 1, W. J. Basirun 2 Department of Chemistry, Faculty of Science, University of Malaya, Lembah Pantai, Kuala Lumpur 50603, Malaysia. E mail 1 : mo_riis@yahoo.ca; E mail 2 : wjeffreyb@yahoo.com KEYWORDS: Silicon warpage, Sub-surface damage, Wafer thinning, Wet etching ABSTRACT Warpage on the backside of silicon wafer after thinning process is examined. The thinning process includes back-grinding (BG) and wet chemical etching (WCE). Results of wafer warpage were compared to sub-surface damage from Transmission Electron Microscopy (TEM) analysis and showed that sub-surface damage on the backside of the silicon 100 would induce high wafer warpage, and reduced wafer strength. Further studies from surface roughness and topography of each surface finish is obtained by Atomic Force Microscopy (AFM) and SEM show that low surface roughness is in accordance with smooth surface condition, which comes after the wet etching process. INTRODUCTION Semiconductor industries are driving towards miniaturization, multifunctional and high density packages, especially for portable electronic devices. One of the important steps to achieve this is the silicon wafer thinning, which is the main subject of this paper. The key technology enabler of wafer thinning is the back-grinding process (BG) where the backside of the silicon wafer is mechanically grinded to the required thickness. This process is widely applied in assembly industries due to its low cost and time efficiency and remains as the most preferred thinning method for silicon wafers [1]. Despite the positive outlook, there is a negative aspect of mechanical grinding which is the presence of warp when the silicon is thinned down. Mechanical abrasion and heat during the BG process will induce damage on the wafer surface that can lead to crack propagation, growth and fracture [2]. It has been experimentally shown that the amount of silicon damage is closely related to the wafer warpage [3]. The warpage is not desirable for thin wafers and therefore it is important to further understand and control the surface damage from the grinding process. The increased wafer diameter over thickness ratio makes the wafers more sensitive to warpage [4]. As mentioned earlier, heat, which is produced from BG process can also lead to wafer warpage [5, 6]. Most conventional BG process use a two step method, which is the rough grinding and fine grinding [3, 8, 9]. The rough grinding is where a significant amount (around 90%) of silicon material is removed by this step. An abrasive grinding wheel, typically grit was used to rapidly thin the wafer, but this greatly damage the wafer sub-surface [3, 9]. The second step is the fine grinding process which uses utilizes abrasive wheel of typically grit, where the wafer is thinned down to the required thickness and to remove most of the damage from the rough grinding process. A cross section of the sub-surface damage due to mechanical BG described by the Hadamovsky model consists of a polysilicon zone at the top, followed by a cracked zone, a All rights reserved. No part of contents of this paper may be reproduced or transmitted in any form or by any means without the written permission of TTP, (ID: /07/11,14:01:18)

2 1028 Advances in Materials and Processing Technologies II transition zone and a crystal dislocation zone at the bottom [7]. Measurements using Raman Spectroscopy gave a cross-sectional profile of the sub-surface which consists of an amorphous layer on top, followed by a polycrystalline layer, elastically strained crystalline layer and bulk crystalline silicon at the bottom [3]. Here cross sections of the wafers were taken using Transmission Electron Microscopy, to examine the effects of BG and Wet Chemical Etching (WCE) processes. This is important for checking the damage depth induced by them on the wafer backside surface. (WCE) is a simple method which can be performed on the wafers to reduce the surface roughness from the BG process. Among the chemicals which have been long used in the WCE process are KOH, Hydrofluoric acid (HF), Tetramethyl Ammonium Hydroxide (TMAH) and Ethylene Diamine Pyrocatechol (EDP), but improvements have been made in the chemical compositions and conditions of the WCE [10,11,13]. 2. EXPERIMENTAL METHODS Bare silicon wafers of 20 cm in diameter and mm thick with front surface with crystal orientation of <100> were used in this study. For the mechanical BG, of the rough grinding step, the abrasive diamond wheels with grit size mesh 320 were used, while the wheels with mesh 1500 grit size were employed in the fine grinding step. After the BG process, the wafers undergo the surface damage removal by chemical wet etching at a standard removal rate. The end thickness of wafers from BG process only was 185 µm while for wafers undergoing stress relief process was 150 µm. The grinding conditions are listed in Table 1. Grinding Parameter Coarse grinding Fine grinding Grit size Chuck speed [rpm] Spindle speed [rpm] Feed-rate [µm/s] Table 1 Grinding parameter used in the current study (machine model DISCO DFG8540) To completely remove the damage layer and to relieve stress of the wafers, WCE can be done on the grinded wafers. In this work, a combination of HNO 3, HF, H 2 SO 4 and H 3 PO 4 was used in the WCE process. The conditions for the WCE are tabulated in Table 2. Process parameter Chemical wet etch Chemicals HNO 3, HF, H 2 SO 4, H 3 PO 4 Etch rate [µm/s] 0.69 Method Spin etch Table 2 Stress relief process (WE) parameter (machine model SEZ 203 spin processor) The spin etch using the combination of acids was performed using SEZ Spin Processor. The surface roughness measurements were performed using Atomic Focus Microscopy (AFM) Nanoscope model D3000. Average surface roughness (R a ) was collected over the entire measured array and it was usually used as surface finish roughness parameter. For each sample, the results of surface finish are presented in the 3D view and roughness analysis showing surface topography of each sample. Damage depth characterizations for samples of both processes were done using FEI Tecnai F20 Transmission Electron Microscopy (TEM). Backside of each sample was deposited with 200 nm titanium (Ti) as a protective layer to check the thickness of the damage depth. The captured images were saved and the length of damage depth was measured using Digital Image Processing

3 Advanced Materials Research Vols System 2.6 (DIPS 2.6) software. The SEM instrument used was from Leica S 440 Scanning Electron Microscope. The measurement of wafer warpage from both processes was done using Automatic Wafer Geometry Gauge MX VR. Wafer thickness was controlled and warp was measured automatically. 3. RESULTS AND DISCUSSION 3.1. Chemical etch, wafer warpage and damage depth The mixtures of acid used in the chemical etch process have different roles. The HNO 3 oxidizes the silicon surface to silicon dioxide. Si (s) + 4HNO 3 (aq) SiO 2 (s) + 4NO 2 (aq) + 2H 2 O (aq) (1) The HF strips the oxide layer to form bare silicon surface and further etches the silicon surface to give a smoother surface as can be seen in equations 2 and 3. SiO 2 (s) + 12HF (aq) + 4e - Si (s) + 2H 2 O (aq) + 12F - (aq) + 8H + (aq) (2) Si (s) + 6HF (aq) + 2e - [SiF 6 ] 2- (aq) + 3H 2 (g) (3) Gas bubbles were also observed during the process. Figure 1 and 2 are Transmission Electron Microscopy (TEM) cross-section images of the backside of the silicon 100 from both processes. BG process left deeper backside sub-surface damage with visible micro-crack of 0.30 µm under TEM as shown in Figure 1, compared to after the WCE process with no visible micro-crack as can be seen in Figure 2. Figure 1 (left): TEM image of wafer back side micro-crack after BG process, top layer is titanium Wafer warpage is highly influenced by the type of back processing implemented during the thinning process. The average wafer warpage is 220 µm after the BG process and 18 µm after the WCE process. The wafer warpage was higher after the BG process than after the WCE process. This is driven by the high mechanical stress from the BG process onto the backside of the wafer, which utilizes abrasive diamond particles to take out most of the wafer thickness. The BG process leaves severe backside sub-surface damage in forms of micro-cracks. These micro-cracks contribute

4 1030 Advances in Materials and Processing Technologies II to higher wafer warpage. The WCE process is implemented to remove the micro-cracks left from the BG process, and can lead to lower wafer warpage. Other results of WCE as stress removal was reported where warpage increased with oxidation to about 100 µm and decreased to about 24 µm using H 3 PO 4 in the chemical etching process [14]. Figure 2 (right): TEM image of wafer back side after WCE process, top layer is titanium The warp of the silicon can be increased by the depth of the sub-surface damage of the micro-cracks. It can be suggested that the depth of the damage projection induces stress on the wafer surface and in order to compensate the stress, the wafer is warped. Therefore, the deeper the backside damage, the higher the wafer needs to warp to relieve the stress from the damage Effect of wafer back processing towards surface roughness (R a ) and surface topography Surface roughness of wafer backside was also influenced by the wafer thinning methods used. For example R a values with range of 10 nm 300 nm were reported from the mechanical grinding process which utilizes a wide range of grinding wheel grit size and cutting speed [12]. Figure 3 and Figure 4 show the surface roughness (R a ) of wafer backside after BG and WCE process. The result indicates there is a rise in surface roughness after BG process with R a value of 0.18 nm. In contrast, WCE process provides low surface roughness, R a of 0.11 nm due to smooth surface finish produced on the wafer backside. Other workers have also used a mixture of HF, HNO 3, CH 3 COOH which gave R a of nm and R a of 0.55 nm with HF alone [9]. AFM results in Figure 3 and Figure 4 show different surface finish from the two thinning processes. Fig. 3 AFM image after back-grinding process, R a = 0.18 nm

5 Advanced Materials Research Vols Figures 5 and 6 shows the SEM images of the silicon surface from the BG and WCE processes respectively. It can be seen that the surface of the silicon after the WCE process is smoother that after the BG process. Fig. 4 AFM image after the wet chemical etch, Ra = 0.11 nm Fig 5 SEM image after the BG process Fig 6 SEM image after the WCE process

6 1032 Advances in Materials and Processing Technologies II 4. CONCLUSION From the TEM, back-grinding process induced deep wafer sub-surface damage of the silicon wafer. It was also found that there is a direct correlation between wafer warpage and backside wafer sub-surface damage depth where deep sub-surface damage depth contributes to higher wafer warpage. Wet chemical etching using a mixture of acids is able to remove most of the sub-surface damage and lowers the wafer warpage. AFM confirmed that a lower surface roughness can be achieved through the chemical etching process by using a combination of HNO 3, H 2 SO 4, H 3 PO 4 and HF acids after the back-grinding process. Hence a lower surface roughness value also correlates well with a lower value of wafer warpage after the chemical etching process. Acknowledgment The authors would like to thank the Malaysian Government agency, MOSTI, for funding with project number IRPA PR0075/ RERERENCES [1] M.K. Grief, J.A. Steele Jr, 19th IEEE/CPMT Electronics Manufacturing Technology Symposium, (1996), [2] J.J. Young, A.P. Malshe, W.D. Brown, T. Lenihan, D. Albert, V. Ozguz, SPIE Proc. International Conf. High Density Interconnect and Systems Packaging, Santa Clara CA US, 4428, (2001), [3] J. Chen, I. De Wolf I., Semicon. Sci. and Tech., 18, (2003), [4] B. Leroy, C. Plougonven, J. Electrochem. Soc., 127 (4) (1980), [5] H. Lu, D. Yang, L. Li, Z. Ye, D. Que, Physica Status Solidi A, 169 (2) (1998), [6] A. E. Widmer, W. Rehwald, J. Electrochem. Soc., 133 (11) (1980), [7] H.F. Hadamovsky, Ed, Werkstoffe der Halbleitertechnik, Deutscher Verlag fur Grundstoffechnik, Leipzig, (1990), [in German]. [8] N.R. Draney, J.J. Liu, T. Jiang, IEEE Workshop on Microelectronics and Electron Devices, (2004), [9] B.H. Yeung, V. Hause, T.Y. Lee, IEEE Trans. Adv. Packaging, 23 (3) (2000), [10] M. Reiche, G. Wagner, Adv. Packaging, 12 (3) (2003), [11] L. Wu, J. Chan, C.S. Hsiao, IEEE Proceedings 53rd Electronic Components and Technology Conference, (2003), [12] D. Paehler, D. Schneider, M. Herben, Microelectronics Eng., 84 (2003), [13] H.D. Chiou, Y. Chen, R. W. Carpenter, J. Leong, J. Electrochem. Soc. 141 (7) (1994), [14] S. A. Jang, I. S. Yeo, Y. B. Kim, B. J. Cho, S. K. Lee, Electrochem. Solid State Lett., 1 (1) (1998),

7 Advances in Materials and Processing Technologies II doi: / Chemical Wet Etching of Silicon Wafers from a Mixture of Concentrated Acids doi: /

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

The study of structural and optical properties of TiO 2 :Tb thin films

The study of structural and optical properties of TiO 2 :Tb thin films Optica Applicata, Vol. XXXVII, No. 4, 2007 The study of structural and optical properties of TiO 2 :Tb thin films AGNIESZKA BORKOWSKA, JAROSLAW DOMARADZKI, DANUTA KACZMAREK, DAMIAN WOJCIESZAK Faculty of

More information

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes Applications Laboratory Report 86 Evaluating Surface Roughness of Si Following Selected Processes Purpose polishing of samples is a common application and required for a variety of manufacturing and research

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-527: MicroFabrication Wet Etching Outline Isotropic Si etching Anisotropic Si etching Anisotropic GaAs etching Isotropic etching of SiO 2, Al, and Cr General features of wet chemical etching Selective

More information

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope International Journal of Arts and Sciences 3(1): 18-26 (2009) CD-ROM. ISSN: 1944-6934 InternationalJournal.org Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe Bedri Onur Kucukyildirim,

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Metrology of silicon photovoltaic cells using coherence correlation interferometry

Metrology of silicon photovoltaic cells using coherence correlation interferometry Loughborough University Institutional Repository Metrology of silicon photovoltaic cells using coherence correlation interferometry This item was submitted to Loughborough University's Institutional Repository

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS

APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS V.A. Popovich 1, W. Verwaal 2, M. Janssen 1, I. J. Bennett 3, I.M.Richardson 1, 1. Delft University of Technology, Department of Materials

More information

AUSTENITIC STAINLESS DAMASCENE STEEL

AUSTENITIC STAINLESS DAMASCENE STEEL AUSTENITIC STAINLESS DAMASCENE STEEL Damasteel s austenitic stainless Damascene Steel is a mix between types 304L and 316L stainless steels which are variations of the 18 percent chromium 8 percent nickel

More information

, Yong-Min Kwon 1 ) , Ho-Young Son 1 ) , Jeong-Tak Moon 2 ) Byung-Wook Jeong 2 ) , Kyung-In Kang 2 )

, Yong-Min Kwon 1 ) , Ho-Young Son 1 ) , Jeong-Tak Moon 2 ) Byung-Wook Jeong 2 ) , Kyung-In Kang 2 ) Effect of Sb Addition in Sn-Ag-Cu Solder Balls on the Drop Test Reliability of BGA Packages with Electroless Nickel Immersion Gold (ENIG) Surface Finish Yong-Sung Park 1 ), Yong-Min Kwon 1 ), Ho-Young

More information

Study on Wet Etching of AAO Template

Study on Wet Etching of AAO Template Study on Wet Etching of AAO Template Guofeng Hu, Haiming Zhang, Wenwen Di & Tingting Zhao School of Science, Tianjin Polytechnic University, Tianjin 300160, China E-mail: hugf2009@163.com Abstract The

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Saulius Marcinkevičius Optics, ICT, KTH 1 Outline Optical near field. Principle of scanning near field optical microscope

More information

Zero Width Glass Cutting with CO 2 Laser

Zero Width Glass Cutting with CO 2 Laser Zero Width Glass Cutting with CO 2 Laser Mohammed Naeem GSI Group, Laser Division Cosford Lane, Swift Valley Rugby mnaeem@gsig.com Introduction Laser cutting of glass in not a novel technique, excellent

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

EFFECT OF HARDNESS VARIATION ON SURFACE INTEGRITY OF CARBURIZED P20 STEEL

EFFECT OF HARDNESS VARIATION ON SURFACE INTEGRITY OF CARBURIZED P20 STEEL Copyright 2013 by ABCM EFFECT OF HARDNESS VARIATION ON SURFACE INTEGRITY OF CARBURIZED P20 STEEL Franciele Litvin franciele-litvin@hotmail.com Larissa França Madeira Manfrinato lari_manfrinato@hotmail.com

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

MICROSTRUCTURAL AND MECHANICAL CHARACTERIZATION OF GRAY CAST IRON AND AlSi ALLOY AFTER LASER BEAM HARDENING

MICROSTRUCTURAL AND MECHANICAL CHARACTERIZATION OF GRAY CAST IRON AND AlSi ALLOY AFTER LASER BEAM HARDENING Materials Science Forum Vols. 638-642 (2010) pp 769-774 Online available since 2010/Jan/12 at www.scientific.net (2010) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/msf.638-642.769

More information

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning Georges Peyre : Sales & Marketing Director SEMICON Europa Grenoble - 2014

More information

COATED CARBIDE. TiN. Al 2 O 3

COATED CARBIDE. TiN. Al 2 O 3 COATED CARBIDE GENERAL INFORMATION CVD = Chemical Vapour Deposition coated grades GC2015, GC2025, GC2135, GC235, GC3005, GC3015, GC3020, GC3025, GC3115, GC4015, GC4025, GC4035, S05F, and CD1810. PVD =

More information

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration M G Kibria, F Zhang, T H Lee, M J Kim and M M R Howlader Dept. Electrical and Computer Engineering,

More information

Strength of Concrete

Strength of Concrete Strength of Concrete In concrete design and quality control, strength is the property generally specified. This is because, compared to most other properties, testing strength is relatively easy. Furthermore,

More information

The CVD diamond booklet

The CVD diamond booklet available at: www.diamond-materials.com/download Content 1. General properties of diamond... 2 2. Optical Properties... 4 Optical transparency...4 Absorption coefficient at 10.6 µm...5 Refractive index:

More information

Composite Electromagnetic Wave Absorber Made of Permalloy or Sendust and Effect of Sendust Particle Size on Absorption Characteristics

Composite Electromagnetic Wave Absorber Made of Permalloy or Sendust and Effect of Sendust Particle Size on Absorption Characteristics PIERS ONLINE, VOL. 4, NO. 8, 2008 846 Composite Electromagnetic Wave Absorber Made of Permalloy or Sendust and Effect of Sendust Particle Size on Absorption Characteristics K. Sakai, Y. Wada, and S. Yoshikado

More information

Wipe Analysis to Determine Metal Contamination on Critical Surfaces

Wipe Analysis to Determine Metal Contamination on Critical Surfaces By Albert Dato, Ph.D., Warren York, Jennifer Jew, Laarni Huerta, Brice Norton, and Michael Coste On-wafer metallic contamination is detrimental to the fabrication and performance of semiconductor devices.

More information

Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications

Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications Ricardo I. Fuentes, Ph.D. Materials and Technologies Corp., (MATECH) Wappingers Falls, NY 12590 To Be Presented at IWLPC Conference,

More information

Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie. Arizona 85721, USA. Arizona 85721, USA

Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie. Arizona 85721, USA. Arizona 85721, USA Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie S. Kumari a, M. Keswani a, S. Singh b, M. Beck c, E. Liebscher c, L. Q. Toan d and S. Raghavan

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Modification of Graphene Films by Laser-Generated High Energy Particles

Modification of Graphene Films by Laser-Generated High Energy Particles Modification of Graphene Films by Laser-Generated High Energy Particles Elena Stolyarova (Polyakova), Ph.D. ATF Program Advisory and ATF Users Meeting April 2-3, 2009, Berkner Hall, Room B, BNL Department

More information

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness Journal of ELECTRONIC MATERIALS, Vol. 36, No. 11, 2007 DOI: 10.1007/s11664-007-0270-x Ó 2007 TMS Special Issue Paper -Cu Intermetallic Grain Morphology Related to Layer Thickness MIN-HSIEN LU 1 and KER-CHANG

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012914 TITLE: Atomic Force Microscopy Characterization of Nanostructured Materials Using Selective Chemical Etching DISTRIBUTION:

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Numerical Analysis of Independent Wire Strand Core (IWSC) Wire Rope

Numerical Analysis of Independent Wire Strand Core (IWSC) Wire Rope Numerical Analysis of Independent Wire Strand Core (IWSC) Wire Rope Rakesh Sidharthan 1 Gnanavel B K 2 Assistant professor Mechanical, Department Professor, Mechanical Department, Gojan engineering college,

More information

Femtosecond laser-induced silicon surface morphology in water confinement

Femtosecond laser-induced silicon surface morphology in water confinement Microsyst Technol (2009) 15:1045 1049 DOI 10.1007/s00542-009-0880-8 TECHNICAL PAPER Femtosecond laser-induced silicon surface morphology in water confinement Yukun Han Æ Cheng-Hsiang Lin Æ Hai Xiao Æ Hai-Lung

More information

Supporting Information

Supporting Information Supporting Information Simple and Rapid Synthesis of Ultrathin Gold Nanowires, Their Self-Assembly and Application in Surface-Enhanced Raman Scattering Huajun Feng, a Yanmei Yang, a Yumeng You, b Gongping

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS Trends Biomater. Artif. Organs. Vol. 17(2) pp 43-47 (2004) http//www.sbaoi.org BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS N. Ramesh Babu*,+, Sushant Manwatkar*, K. Prasada Rao* and T. S. Sampath

More information

Phonon Scattering and Thermal Conduction in Nanostructured Semiconductors

Phonon Scattering and Thermal Conduction in Nanostructured Semiconductors Phonon Scattering and Thermal Conduction in Nanostructured Semiconductors David G. Cahill, Joe Feser, Yee Kan Koh Department of Materials Science and Engineering And Materials Research Laboratory University

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

Luminescence study of structural changes induced by laser cutting in diamond films

Luminescence study of structural changes induced by laser cutting in diamond films Luminescence study of structural changes induced by laser cutting in diamond films A. Cremades and J. Piqueras Departamento de Fisica de Materiales, Facultad de Fisicas, Universidad Complutense, 28040

More information

EFFECT OF NANO-SILICA ON CONCRETE CONTAINING METAKAOLIN

EFFECT OF NANO-SILICA ON CONCRETE CONTAINING METAKAOLIN International Journal of Civil Engineering and Technology (IJCIET) Volume 7, Issue 1, Jan-Feb 2016, pp. 104-112, Article ID: IJCIET_07_01_009 Available online at http://www.iaeme.com/ijciet/issues.asp?jtype=ijciet&vtype=7&itype=1

More information

Phenomenological aspects of a modified fragmentation of the ground material

Phenomenological aspects of a modified fragmentation of the ground material Phenomenological aspects of a modified fragmentation of the ground material Lucjan Dabrowski, Mieczyslaw Marciniak Warsaw University of Technology, Warsaw, Poland Summary: The main point of this paper

More information

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007 DOE Solar Energy Technologies Program Peer Review Evaluation of Nanocrystalline Silicon Thin Film by Near-Field Scanning Optical Microscopy AAT-2-31605-05 Magnus Wagener and George Rozgonyi North Carolina

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Phase Characterization of TiO 2 Powder by XRD and TEM

Phase Characterization of TiO 2 Powder by XRD and TEM Kasetsart J. (Nat. Sci.) 42 : 357-361 (28) Phase Characterization of TiO 2 Powder by XRD and TEM Kheamrutai Thamaphat 1 *, Pichet Limsuwan 1 and Boonlaer Ngotawornchai 2 ABSTRACT In this study, the commercial

More information

Applying NiTi Shape-Memory Thin Films to Thermomechanical Data Storage Technology

Applying NiTi Shape-Memory Thin Films to Thermomechanical Data Storage Technology Mater. Res. Soc. Symp. Proc. Vol. 855E 2005 Materials Research Society W1.7.1 Applying NiTi Shape-Memory Thin Films to Thermomechanical Data Storage Technology Wendy C. Crone 1, Gordon A. Shaw 2 1 Department

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Surface Treatment of Titanium

Surface Treatment of Titanium Surface Treatment of Titanium Christiane Jung KKS Ultraschall AG, Steinen SZ The Tribology of Precision Swiss Tribology Meeting SBB Centre Löwenberg in Murten, Switzerland 16. November 2007 1 Outline:

More information

Coating of TiO 2 nanoparticles on the plasma activated polypropylene fibers

Coating of TiO 2 nanoparticles on the plasma activated polypropylene fibers Coating of TiO 2 nanoparticles on the plasma activated polypropylene fibers Renáta Szabová*, Ľudmila Černáková, Magdaléna Wolfová, Mirko Černák a Department of Plastics and Rubber, Institute of Polymer

More information

Chemical vapor deposition of novel carbon materials

Chemical vapor deposition of novel carbon materials Thin Solid Films 368 (2000) 193±197 www.elsevier.com/locate/tsf Chemical vapor deposition of novel carbon materials L. Chow a, b, *, D. Zhou b, c, A. Hussain b, c, S. Kleckley a, K. Zollinger a, A. Schulte

More information

Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples

Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples C. W. Chang 1, Q. P. Lee 1, C. E. Ho 1 1, 2, *, and C. R. Kao 1 Department of Chemical & Materials Engineering 2 Institute of Materials

More information

Enhancement of Breakdown Strength and Energy Density in

Enhancement of Breakdown Strength and Energy Density in Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Enhancement of Breakdown Strength and Energy Density in

More information

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Applied Surface Science 186 2002) 453±457 Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Lianne M. Doeswijk a,*, Hugo H.C. de Moor b, Horst Rogalla a, Dave

More information

Suppressing the skin-core structure in injection-molded HDPE parts

Suppressing the skin-core structure in injection-molded HDPE parts Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2015 Suppressing the skin-core structure in injection-molded HDPE parts via the combination of pre-shear

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

ORIENTATION CHARACTERISTICS OF THE MICROSTRUCTURE OF MATERIALS

ORIENTATION CHARACTERISTICS OF THE MICROSTRUCTURE OF MATERIALS ORIENTATION CHARACTERISTICS OF THE MICROSTRUCTURE OF MATERIALS K. Sztwiertnia Polish Academy of Sciences, Institute of Metallurgy and Materials Science, 25 Reymonta St., 30-059 Krakow, Poland MMN 2009

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Design of inductors and modeling of relevant field intensity

Design of inductors and modeling of relevant field intensity 3. Growth of shaped Si single crystals (FZ) Design of inductors and modeling of relevant field intensity Main cut Schematic of inductor for large square FZ crystals z-component of the field intensity for

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

Modeling, Simulation and Calibration of Silicon Wet Etching

Modeling, Simulation and Calibration of Silicon Wet Etching Modeling, Simulation and Calibration of Silicon Wet Etching Paper Andrzej Kociubiński, Mariusz Duk, Tomasz Bieniek, and Paweł Janus Abstract The methods of parameter optimization in Etch3D TM simulator

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology 1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology Munaf Rahimo, Jan Vobecky, Chiara Corvasce ISPS, September 2010, Prague, Czech Republic Copyright [2010] IEEE. Reprinted from the

More information

Defect Engineering in Semiconductors

Defect Engineering in Semiconductors Defect Engineering in Semiconductors Silicon Technology: problems of ultra large-scale l integration i Gettering in silicon Defect engineering in HgCdTe Near-surface defects in GaAs after diamond saw-cutting

More information

Effect of Sleeve Shrink-fit on Bearing Preload of a Machine Tool Spindle: Analysis using Finite Element Method

Effect of Sleeve Shrink-fit on Bearing Preload of a Machine Tool Spindle: Analysis using Finite Element Method Effect of Sleeve Shrink-fit on Bearing Preload of a Machine Tool Spindle: Analysis using Finite Element Method Aslam Pasha Taj 1, Chandramouli SR 2* ACE Designers Limited, Peenya Industrial Area, Bangalore-560058

More information

ADVANCES IN LASER SINGULATION OF SILICON Paper #770

ADVANCES IN LASER SINGULATION OF SILICON Paper #770 ADVANCES IN LASER SINGULATION OF SILICON Paper #770 Leonard Migliore1, Kang-Soo Lee2, Kim Jeong-Moog2, Choi Byung-Kew2 1Coherent, Inc., Santa Clara, CA, USA 2HBL Corporation, Daejeon 305-811 Korea Abstract

More information

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Andrew C. Rudack 3D Interconnect Metrology and Standards SEMATECH Albany, NY andy.rudack@sematech.org

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1. 6.15JST05.Lecture1-1 1 Etching and Pattern Transer (1) OUTLINE Basic Concepts o Etching Wet Etching Speciic Wet Etches Silicon Silicon Dioxide Aluminum Dry (Plasma) Etch eview o Plasmas eading Assignment:

More information

Raman spectroscopy Lecture

Raman spectroscopy Lecture Raman spectroscopy Lecture Licentiate course in measurement science and technology Spring 2008 10.04.2008 Antti Kivioja Contents - Introduction - What is Raman spectroscopy? - The theory of Raman spectroscopy

More information

EFFECT OF UNCONVENTIONAL METHODS OF CUTTING ON MICROSTRUCTURE, TOPOGRAPHY AND MICROHARDNESS CHANGES IN STEEL

EFFECT OF UNCONVENTIONAL METHODS OF CUTTING ON MICROSTRUCTURE, TOPOGRAPHY AND MICROHARDNESS CHANGES IN STEEL METALLURGY AND FOUNDRY ENGINEERING Vol. 38, 2012, No. 2 http://dx.doi.org/10.7494/mafe.2012.38.2.109 Beata Leszczyñska-Madej*, Maria Richert**, Teresa Sak*** EFFECT OF UNCONVENTIONAL METHODS OF CUTTING

More information

Formation of solids from solutions and melts

Formation of solids from solutions and melts Formation of solids from solutions and melts Solids from a liquid phase. 1. The liquid has the same composition as the solid. Formed from the melt without any chemical transformation. Crystallization and

More information

Ultrasonic Detection Algorithm Research on the Damage Depth of Concrete after Fire Jiangtao Yu 1,a, Yuan Liu 1,b, Zhoudao Lu 1,c, Peng Zhao 2,d

Ultrasonic Detection Algorithm Research on the Damage Depth of Concrete after Fire Jiangtao Yu 1,a, Yuan Liu 1,b, Zhoudao Lu 1,c, Peng Zhao 2,d Advanced Materials Research Vols. 368-373 (2012) pp 2229-2234 Online available since 2011/Oct/24 at www.scientific.net (2012) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amr.368-373.2229

More information

SELECTIVE DISSOLUTION AND CORROSION FATIGUE BEHAVIORS OF 2205 DUPLEX STAINLESS STEEL

SELECTIVE DISSOLUTION AND CORROSION FATIGUE BEHAVIORS OF 2205 DUPLEX STAINLESS STEEL W.-T. Tsai, I.-H. Lo Department of Materials Science and Engineering National Cheng Kung University Tainan, Taiwan SELECTIVE DISSOLUTION AND CORROSION FATIGUE BEHAVIORS OF 2205 DUPLEX STAINLESS STEEL ABSTRACT

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES

MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES Katarzyna Lewandowska Faculty of Chemistry Nicolaus Copernicus University, ul. Gagarina 7, 87-100 Toruń, Poland e-mail: reol@chem.umk.pl

More information

Chapter Outline Dislocations and Strengthening Mechanisms

Chapter Outline Dislocations and Strengthening Mechanisms Chapter Outline Dislocations and Strengthening Mechanisms What is happening in material during plastic deformation? Dislocations and Plastic Deformation Motion of dislocations in response to stress Slip

More information

Developments in Photoluminescence Characterisation for Silicon PV

Developments in Photoluminescence Characterisation for Silicon PV Developments in Photoluminescence Characterisation for Silicon PV School of Photovoltaic and Solar Energy Engineering Bernhard Mitchell 1, Thorsten Trupke 1,2, Jürgen W. Weber 2, Johannes Greulich 3, Matthias

More information

How To Make A Diamond Diamond Wirehead From A Diamond

How To Make A Diamond Diamond Wirehead From A Diamond ACKNOWLEDGMENTS This work would not have been possible without the advice and support of many people. First, and foremost, I would like to thank my advisor Dr. John Patten for providing me the opportunity

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Original Paper Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Satoshi Hashimoto 1, Tsuguo Sakurada 1, and Minoru Suzuki 2 1 JFE-Techno research corporation, 1-1 Minamiwatarida, Kawasaki,

More information

EFFECT OF PARTICLE SIZE DISTRIBUTION ON THE MICROSTRUCTURE AND MAGNETIC PROPERTIES OF SINTERED NdFeB MAGNETS

EFFECT OF PARTICLE SIZE DISTRIBUTION ON THE MICROSTRUCTURE AND MAGNETIC PROPERTIES OF SINTERED NdFeB MAGNETS Effect Rev. Adv. of particle Mater. Sci. size 28 distribution (2011) 185-189 on the microstructure and magnetic properties of sintered... 185 EFFECT OF PARTICLE SIZE DISTRIBUTION ON THE MICROSTRUCTURE

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information