Semiconductor Equipment Assessment for Key Enabling Technologies

Size: px
Start display at page:

Download "Semiconductor Equipment Assessment for Key Enabling Technologies"

Transcription

1 Semiconductor Equipment Assessment for Key Enabling Technologies

2 Semiconductor Equipment Assessment for Key Enabling Technologies Introduction SEA programs proven principle: Take novel, innovative and promising equipment, that has left the R&D phase, into a joint assessment activity Collaboration of equipment supplier, end-user and research institute to perform assessment experiments for one specific equipment and finally develop the equipment according to the end-user s specifications Bridge the well-known gap between the phase of having an engineered tool available and finding the first user for it

3 Semiconductor Equipment Assessment for Key Enabling Technologies Introduction SEA Projects in IST SEA-NET 01/ /2009 SEAL 06/ /2013 SEA4KET 11/ /2016 Framework Programme: 6 Sub-Projects: 20 (incl. 1 Management SP and 1 cross-cut R&D SP) Partners: 31 Framework Programme: 7 Sub-Projects: 19 (incl. 1 Management SP and 1 cross-cut R&D SP) Partners: 36 Framework Programme: 7 Sub-Projects: 14 (incl. 1 Management SP and 1 cross-cut R&D SP) Partners:

4 Semiconductor Equipment Assessment for Key Enabling Technologies Introduction Project Objectives Strengthening the European equipment industry Bring together critical mass of research and development power to form synergies Make use of the excellent European research infrastructure at Fraunhofer IISB, LETI and IMEC Increase the chances for SME s to get access to IC makers Developing a common strategy for key enabling technologies in the EU Stimulate an approach to initiate sustaining partner-ships amongst equipment industry, IC industry and research institutions Sustainable research and development as horizontal activities Duration: Nov Oct. 2016

5 Semiconductor Equipment Assessment for Key Enabling Technologies Introduction

6 SP2 Cross-cut R&D Sustainable Support and Research and Development Provide an infrastructure to exchange wafers between partners Identify and solve common problems in respect of manufacturing science and equipment efficiency Investigate and provide wafer resizing Create and provide learning materials Advances proposed in SP2 Collaboration between SEA4KET partners allowing multisite processing or characterization Energy efficiency analysis and improvement in order to reduce energy consumption per processed unit Quality and advanced process control including automation, security, yield, COO and standard compliance Resizing 450 mm wafers to support further processing and characterization utilizing 300 mm equipment Create training materials and organizing events and workshops to guaranty knowledge exchange between partners Partners: Fraunhofer IISB, CEA-Leti, IMEC, FHWN

7 SP3 - SWCC450 Single Wafer Critical Cleaning 450 mm Assess a single wafer cleaning pilot line system In consultation between equipment supplier, pilot line operator and end user, this equipment assessment will focus on test cases related to front end of line wet cleaning and etching processes, relevant to 1x nm technology nodes on a Lam Research s single wafer spin clean system Advances proposed in SP3 Spin Clean Systems are being used for high volume production in FEOL, MOL and BEOL for 2x nm technology on 300mm wafers Industry transition to 450mm wafer size is intended to be combined with new technology nodes 1xnm and smaller. Currently 10nm and 7nm technology is at an early development stage on 300mm Project start has been postponed due to change of industry timing for introduction of 450mm Lam Spin Clean System Partners: LAM, Imec, Intel

8 SP04 - ABP Advanced Batch Processing Assessment of a 450mm Batch Oxidation System for 14nm technology node and beyond Prove general ability for future 450mm production requirements Characterize and understand behaviour of 450mm wafers in thermal processing Assessment location: G450C 450mm pilotline, utilizing Equipment Performance Metrics (EPM) and Demonstration Test Methods (DTM) as agreed between G450C and European consortia Advances proposed in SP4 Prove general ability of 450mm Batch Oxidation System for future 450mm production requirements in G450C pilot line, with respect to process capability, repeatability, cleanliness, equipment reliability, physical tool performance and system internal contamination Specific improvements are expected in the areas of reduction of logistics overhead time, contamination performance, efficient mini-environment purging, and isolation and uniform heat distribution of heating element and flange area. After validation several of the expected improvements can be considered for 300mm batch equipment Coordinator: ASM Partners: Fraunhofer IISB, TNO, Intel

9 SP5 CWH Critical Wafer Handling Assessment of clean handling technologies for ultra thin 300 mm and 450 mm wafers Evaluation of different end-effector handling concepts: Bernoulli, Ultrasound, Edge-grip and Backside grip Advances proposed in SP5 Clean and safe handling of ultra thin 300 mm wafers and 450 mm wafers Enabling contact free handling for 450 mm wafers and thinned wafer substrates with smaller wafer diameters Adapting end-effectors on a 450 mm cluster platform Comparison between the four different end-effectors relating to particle generation, contamination, bow, and placing accuracy Testing end-effectors with regard to industrial applicability Partners: Fraunhofer IISB, ZS-Handling, Mechatronic, HAP, Peter Wolters, Freiberg Instruments Coordinator: Ulrich Schöpka, Hassan Samadi / IISB Duration: 36 Months

10 SP6- AMLL450 Vacuum transportation interface for 450mm architecture Assessment of Vacuum transportation interface for 450mm architecture Studies of this new Handling concept for advanced equipment/fab architecture Advances proposed in SP6 Development & manufacturing of 450mm carrier and interface Attachment of the system to the 300/450mm cluster at FhG IISB Assessment of the tool/process in this 300/450mm cluster platform Partners: adixen, Fraunhofer IISB, ASM Coordinator: adixen Vacuum Products Duration: 36 Months

11 Analytical laboratory for 450 mm Metallic and ionic impurities Wafer surface analysis by VPD-ICPMS, VPD-AAS, VPD-IC Automated droplet scanner for 450 mm IISB facility 450 mm VPD chamber for sample preparation (available end 2014) IISB facility

12 Analytical laboratory for 450 mm Organic compounds Wafer surface analysis by TD GC-MS Thermodesorption tubes for the monitoring of clean room and process environment Thermodesorption furnace for silicon substrates (450 mm available end 2014) IISB facility

13 SP7 450DM 450mm defects metrology The subproject aims to evaluate 450mm defects metrology for process and materials characterization and qualification Advances proposed in SP7 An assessment of novel EDX module integration with AMIL s defect review tool 450mm and 300mm material analysis defectivity protocol for imec s 10nm technology node pilot line Material analysis base line creation for process tools qualification Partners: AMIL, FhG, imec, Intel

14 SP8 - MetroCom Metrology Components Set up of an open platform that serves as evaluation stand for different metrology components Evaluation of novel metrology components Advances proposed in SP8 An open 300/450 mm platform will be made available to support metrology companies in testing their sensors Sensor for carrier life-time measurement for silicon wafer characterization at low injection levels (high sensitivity to below 1 x 109 Fe atoms/cm³) Line-based spectroscopic ellipsometer for fast mapping of 450 mm wafers (throughput of up to 60 wafers/hr) Topography sensor for 450 mm wafers (Makyoh-based, field-of-view 300 mm + stitching) Partners: Fraunhofer IISB, Freiberg Instruments, MFA Budapest, E+H Metrology Coordinator: Dr. Martin Schellenberger / IISB Duration: 36 months

15 SP9-3DIMS 3D Integrated Measurement System Assess how the Integrated Test System of Cascade Microtech responds to the technical challenges along the 3D test flow Advances proposed in SP9 Presentations on emerging results to partners in IMEC s Industrial Affiliation Program on 3D Integration Analysis of the existing engineering probe solution Definition of the components of the components to add Integrate the probe card and test instrumentation in the prober Make the test head docking compatible with the vibration insulation of the engineering probe station Adaptation of fine-pitch probe cards Partners: Cascade Microtech, Imec, Global Foundries

16 SP10 - COVBOND Direct Covalent Bonding at Room Temperature Assessment of new manufacturing equipment allowing for room temperature covalent wafer bonding Evaluation of the new processes for applications in the area of engineered substrates, CMOS-MEMS and high vacuum MEMS Advances proposed in SP10 Development of a surface preparation method for enabling room temperature covalent bonding Characterization of the process for various combinations of materials/surface qualities Setup of a manufacturing environment-compatible process flow, enabling high productivity Process optimization for extremely low contamination levels Implementation of the newly-developed equipment and processes into volume manufacturing environment Partners: EVG, CEA LETI, ST Microelectronics

17 SP11 3DIPiCs Inspection for 3D Integrated Photonics Circuits The subproject aims to evaluate the possibility to adapt standard CMOS defectivity tool for KETs application: 3D Heterogeneous integration and Photonics Advances proposed in SP11 An assessment on available solutions have been establish amount the majors tool constructor excelling on this domain Initial assessment of feasibility and capability as well as identification of the hard points to improve in the tool have been done Discussion on chuck specification in order to improve capabilities and ease of use for both cases : standard CMOS defectivity and the targeted KETs applications Partners: ST, AMIL, Leti

18 SP12 - XMeCK X-ray Metrology Assessment of the latest generation X-ray metrology D8 FABLINE from Bruker AXS Assessment of high Brightness X-ray source for MicroHRXD Advances proposed in SP12 Availability of high brightness X-ray sources which will result in an improvement in throughput of a factor of ~ 10. Improved usability and algorithms targeted to advanced materials, photonics, and their heterogeneous integration Complete prototype Improved analytical software for HRXRD Partners: Bruker, ST, CEA

19 SP13 - REPSiC Rapid Electrical Field Driven Processing of Gate Dielectrics on Silicon Carbide Assessement of new equipment for efficient, rapid electrical field driven oxidation resp. nitridation for growing a gate dielectric on SiC at reduced temperatures (<1000 C) and time Evaluation of oxides grown on blanket SiC wafers and SiC test devices for implementation in the production of SiC MOS capacitors and MOSFETs as key emerging devices Advances proposed in SP13 Proposed concept uses a new electron charge driven method for enhanced oxidation rate of SiC without oxide damage Process has been demonstrated on Si wafers and SiC samples before Remarkable reduction of oxidation temperature and thermal budget Partners: HQ-Dielectrics, Fraunhofer IISB, Infineon Technologies Coordinator: Juergen Niess / HQ-D Duration: 24 Months

20 SP14 TLS4SiC Thermal Laser Separation for Fast High Quality Silicon Carbide Dicing Assessment of an innovative dicing technology able to fulfill the requirements of SiC volume production Advances proposed in SP14 Assessment of the kerf free dicing technology for Silicon Carbide product wafers with regard on throughput, reliability, edge quality and electrical characteristics of separated chips and handling issues Increased feed rate up to a factor of 100 compared to state of the art dicing technology Very high edge quality no chipping, smooth side walls and no delamination of backside metal promises good electrical characteristic and good long-term stability of separated chips High throughput and no tool wear results in a significantly reduction of process costs compared with state of the art dicing technology TLS4SiC will be an enabler for SiC volume production by providing a separation technology allowing for high quality results with high throughput far beyond state-ofthe-art Partners: 3D-Micromac, Infineon, Fraunhofer IISB, Fraunhofer CSP Coordinator: Dirk Lewke / Fraunhofer IISB Duration: 24 Months

21 Semiconductor Equipment Assessment for Key Enabling Technologies Introduction

22 Information and Acknowledgement Dr. Markus Pfeffer Fraunhofer Institute of Integrated Systems and Device Technology Erlangen, Germany The SEA projects were/are funded by the European Commission SEA-NET: IST SEAL: IST SEA4KET: IST Thank you!

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems Workshop - Statistical methods applied in microelectronics 13. June 2011, Catholic University of Milan, Milan, Italy Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

Figure 1 Wafer with Notch

Figure 1 Wafer with Notch Glass Wafer 2 SCHOTT is an international technology group with more than 125 years of experience in the areas of specialty glasses, materials and advanced technologies. With our high-quality products and

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Andrew C. Rudack 3D Interconnect Metrology and Standards SEMATECH Albany, NY andy.rudack@sematech.org

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development - supported by the European Commission under support-no. IST-026461 e-cubes Maaike M. V. Taklo :

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

IMEC S BUSINESS MODEL. M. Van Rossum

IMEC S BUSINESS MODEL. M. Van Rossum IMEC S BUSINESS MODEL M. Van Rossum Arenberg Doctoral School 2014 FACTS & FIGURES Total revenue in 2013 of 330 M R&D staff of >2100 people Collaboration with 600 companies & 208 universities, worldwide

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

How to Design and Build a Building Network

How to Design and Build a Building Network Logo azienda/università BC1 Le tecnologie Elettroniche e Informatiche al servizio della gestione energetica Enrico Sangiorgi Workshop Diapositiva 1 BC1 inserire i propri riferimenti Nome e Cognome relatore

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look Gérard MATHERON MIDIS MINATEC 24 avril 2009 1 Advanced Wafer Manufacturing Challenges Advanced Wafer Manufacturing Challenges

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien ERÖFFNUNG DES INNOVATIONSZENTRUMS ADAPTSYS Dual Integration - Verschmelzung von Wafer und Panel Level Technologien Dr. Michael Töpper BDT Introduction Introduction Why do we need such large machines to

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

DVD-R/CD-R 3503 DVD-R/CD-R 3503. your gateway to the future

DVD-R/CD-R 3503 DVD-R/CD-R 3503. your gateway to the future DVD-R/CD-R DVD-R/CD-R your gateway to the future 2 DVD-R/CD-R HIGHLIGHTS Highlights DVD-R Very compact high performance production tool for DVD-R and CD-R production Small footprint of only 25 m 2 Low

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Eurotraining survey on Microsytems training requirements

Eurotraining survey on Microsytems training requirements Eurotraining survey on Microsytems training requirements Hervé Fanet CEA LETI Annette Locher FSRM Chantal Tardif CEA INSTN Abstract One objective of the Eurotraining MST project is to identify training

More information

Robotics A Hand END EFFECTORS, INC. WWW.FJAIND.COM SANTA CLARA, CALIFORNIA. Giving Robotics A Hand

Robotics A Hand END EFFECTORS, INC. WWW.FJAIND.COM SANTA CLARA, CALIFORNIA. Giving Robotics A Hand END WWW.FJAIND.COM SANTA CLARA, CALIFORNIA Giving Robotics A Hand EEI Giving Robotics A Hand ' w w w.fjaind.com Table of Contents 1. Introduction 2. Historical 3. Guarantee Ceramic Vacuum Clamping End-Effectors

More information

Leti Introduction and Overview

Leti Introduction and Overview Leti Introduction and Overview Leti Day in Tokyo, October 3rd 2012 Laurent Malier 2012 Leti 2012 1 CEA The CEA at a glance Commissariat à l Énergie Atomique et aux Énergies Alternatives is one of the largest

More information

Ceralink Capabilities and Opportunities

Ceralink Capabilities and Opportunities Ceralink Capabilities and Opportunities Dr. Holly Shulman President, Materials Scientist Ceralink Inc. Rensselaer Technology Park 105 Jordan Rd. Troy, New York 12180 holly@ceralink.com www.ceralink.com

More information

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

The Semiconductor Industry: Out in Front, but Lagging Behind Tom Mariano Published September, 2014

The Semiconductor Industry: Out in Front, but Lagging Behind Tom Mariano Published September, 2014 As seen in The Semiconductor Industry: Out in Front, but Lagging Behind Tom Mariano Published September, 2014 Capital equipment suppliers must provide advanced analytical systems that leverage data generated

More information

3D Deformation Measurement with Akrometrix TherMoiré and Digital Fringe Projection

3D Deformation Measurement with Akrometrix TherMoiré and Digital Fringe Projection 3D Deformation Measurement with Akrometrix TherMoiré and Digital Fringe Projection ABOUT AKROMETRIX Company Overview Akrometrix mission is to lead the industry in non-contact surface measurement tools.

More information

The Road to 450 mm Semiconductor Wafers Ira Feldman

The Road to 450 mm Semiconductor Wafers Ira Feldman The Road to 450 mm Semiconductor Wafers Ira Feldman Feldman Engineering Corp. Why 450 mm Wafers? Technical Challenges Economic Challenges SoluBons Summary Overview 2 the number of transistors on a chip

More information

Scanning Acoustic Microscopy Training

Scanning Acoustic Microscopy Training Scanning Acoustic Microscopy Training This presentation and images are copyrighted by Sonix, Inc. They may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning Georges Peyre : Sales & Marketing Director SEMICON Europa Grenoble - 2014

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT)

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT) Research and Development centre for Microelectronics and Microsystems Applied Research, Development and Production for Industry ISIT applies an ISO 9001:2000 certified quality management system. Certificate

More information

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010 SMART SOLUTIONS TO DRIVE THE FUTURE Optical Disc and Solar Annual Press/Analyst Conference - Dr. - Ing. Stefan Rinck AG Optical Disc & Solar - 2 - Optical Disc - Blu-ray Excellent starting position for

More information

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society MACHINE VISION FOR SMARTPHONES Essential machine vision camera requirements to fulfill the needs of our society INTRODUCTION With changes in our society, there is an increased demand in stateof-the art

More information

Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student

Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student 1 Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student Abstract-- As the film decreases in thickness the requirements of more

More information

Staff: 1277 including students and student assistants Annual Budget: 86,1 million euros, including investments. (December 2014)

Staff: 1277 including students and student assistants Annual Budget: 86,1 million euros, including investments. (December 2014) Fraunhofer Institute for Solar Energy Systems ISE A short overview The Institute The Fraunhofer Institute for Solar Energy Systems ISE is committed to promoting sustainable, economic, safe and socially

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

Screen Printing For Crystalline Silicon Solar Cells

Screen Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells INTRODUCTION One of the most crucial steps for producing crystalline silicon solar cells is creating the grid of

More information

A Laboratory Approach to Semiconductor Process Technology

A Laboratory Approach to Semiconductor Process Technology A Laboratory Approach to Semiconductor Process Technology Mary Jane Willis Manufacturing Technology Program Albuquerque TVI, A Community College Albuquerque, New Mexico March, 1998 ABSTRACT The recent

More information

GaN IC Die Handling, Assembly and Testing Techniques

GaN IC Die Handling, Assembly and Testing Techniques GaN IC Die Handling, Assembly and Testing Techniques Page 1 of 9 1. Scope This document describes the storage and handling requirements for GaN IC chips. It also describes recommended assembly and testing

More information

UBS Technology Conference

UBS Technology Conference UBS Technology Conference London, 13 March 2013 Ulrich Pelzer Corporate Vice President Finance, Treasury & Investor Relations Table of Contents Infineon at a Glance Power Semiconductors and Manufacturing

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz*

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* AIMS EUV Status of Concept and Feasibility Study 20.10.2010 Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* *Carl Zeiss SMS GmbH, Jena,Germany **Carl Zeiss SMT GmbH,

More information

Advanced materials & solutions for high h temperatures

Advanced materials & solutions for high h temperatures 2010 Advanced materials & solutions for high h temperatures t Mission To engineer innovative solutions for our customers High temperature Corrosion Mechanical wear 2010 From material to engineering solutions

More information

Fuel Cycle R&D to Safeguard Advanced Ceramic Fuel Skills Strategic Options

Fuel Cycle R&D to Safeguard Advanced Ceramic Fuel Skills Strategic Options Fuel Cycle R&D to Safeguard Advanced Ceramic Fuel Skills Strategic Options Fuel Cycle R&D to Safeguard Advanced Ceramic Fuel Skills The Nuclear Renaissance and Fuel Cycle Research and Development Nuclear

More information

Creating Affordable Silicon

Creating Affordable Silicon Creating Affordable Silicon John Tinson VP Sales Sondrel 2016 03/05/2016 Presentation Title 1 The IoT Challenge Existing OEM s and start ups would benefit from a custom ASIC to prove their application

More information

Tube Control Measurement, Sorting Modular System for Glass Tube

Tube Control Measurement, Sorting Modular System for Glass Tube Tube Control Measurement, Sorting Modular System for Glass Tube Tube Control is a modular designed system of settled instruments and modules. It comprises measuring instruments for the tube dimensions,

More information

On the convergences between More Moore, More Than Moore and Beyond CMOS

On the convergences between More Moore, More Than Moore and Beyond CMOS On the convergences between More Moore, More Than Moore and Beyond CMOS Workshop organizers : Francis BALESTRA & Raphael CLERC IMEP, Grenoble, FRANCE Thank you to A. Godoy and colleagues for the help organizing

More information

FRAUNHOFER INSTITUTe For

FRAUNHOFER INSTITUTe For FRAUNHOFER INSTITUTe For surface engineering and thin films MOCCA + PROCESS AUTOMATION & OPTICAL MONITORING MOCCA + Automate your thin film coating process In many thin film coating processes various factors

More information

FRAUNHOFER IZM RESEARCH FOR TOMORROW S PRODUCTS

FRAUNHOFER IZM RESEARCH FOR TOMORROW S PRODUCTS FRAUNHOFER IZM RESEARCH FOR TOMORROW S PRODUCTS Name Klaus-Dieter Lang Abteilung Institutsleiter Overview Fraunhofer Gesellschaft 66 institutes 22,000 employees app. 1.9 billion turnover app. 70% contract

More information

journal für oberflächentechnik

journal für oberflächentechnik 50. Jahrgang www.jot-oberflaeche.de journal für oberflächentechnik PaintExpo-Messeausgabe 4 2010 Farbwechsel beim Nasslackieren _ Verluste minimieren Nasslacke _ Hochwertige Lackierungen ohne Lösemittel

More information

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Applied Surface Science 186 2002) 453±457 Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Lianne M. Doeswijk a,*, Hugo H.C. de Moor b, Horst Rogalla a, Dave

More information

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team Faszination Licht Entwicklungstrends im LED Packaging Dr. Rafael Jordan Business Development Team Agenda Introduction Hermetic Packaging Large Panel Packaging Failure Analysis Agenda Introduction Hermetic

More information

Chip-on-board Technology

Chip-on-board Technology Hybrid Technology The trend in electronics is to continue to integrate more and more functions and numbers of components into a single, smaller assembly. Hybrid circuit technology is a key method of increasing

More information

MEMS mirror for low cost laser scanners. Ulrich Hofmann

MEMS mirror for low cost laser scanners. Ulrich Hofmann MEMS mirror for low cost laser scanners Ulrich Hofmann Outline Introduction Optical concept of the LIDAR laser scanner MEMS mirror requirements MEMS mirror concept, simulation and design fabrication process

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

ORGANIC SAMPLE PREPARATION

ORGANIC SAMPLE PREPARATION ORGANIC SAMPLE PREPARATION W W W.LA BT E C H S R L.CO M WSPE MANUAL VACUUM MANIFOLD SPE Process control of the flow rate is critical to guarantee reproducible extractions. Differently then any other systems,

More information

Design qualification and type approval of PV modules

Design qualification and type approval of PV modules Design qualification and type approval of PV modules TÜV Immissionsschutz und Energiesysteme GmbH Test Centre for Energy technologies May 2003 Design qualification and type approval of PV-modules in accordance

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Temperature. LumaSense Technologies, Inc. supplies a unique selection of very precise calibration

Temperature. LumaSense Technologies, Inc. supplies a unique selection of very precise calibration Temperature Calibration Sources Highly accurate calibration equipment for pyrometers, thermal imaging cameras, radiometers, heatflux and spectrographic measurement sytems. Calibration sources are infrared

More information

HEATER SYSTEMS for Science and Semiconductor application

HEATER SYSTEMS for Science and Semiconductor application representing HEATER SYSTEMS for Science and Semiconductor application & Advanced Heater Systems + maintenance friendly + excellent life time + cost saving In Cooperation with Presenting Today ADVANCED

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Lab-on-a-Chip Design + Foundry Service

Lab-on-a-Chip Design + Foundry Service Lab-on-a-Chip Design + Foundry Service Visions to Products Assay Integration Automation and miniaturization of biochemical assays The Lab-on-a-Chip Design + Foundry-Service offers a shortcut to lab-on-a-chip

More information

Fraunhofer IZM-ASSID Targets

Fraunhofer IZM-ASSID Targets FRAUNHOFER INSTITUTE FoR Reliability and MiCroinTegration IZM Fraunhofer IZM ASSID All Silicon System Integration Dresden All Silicon System Integration Dresden Fraunhofer IZM-ASSID Fraunhofer IZM The

More information

PV-FZ Silicon Wafers for High Efficiency Solar Cells

PV-FZ Silicon Wafers for High Efficiency Solar Cells Note relaunched January 2014, replacing PV-FZ Silicon Wafers for High Efficiency Solar Cells, September 2010 APPLICATION NOTE PV-FZ Silicon Wafers for High Efficiency Solar Cells PV-FZ monocrystalline

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

End Effector Selection and Design. End Effector Types General Design Practices Specific Design Criteria Case Studies

End Effector Selection and Design. End Effector Types General Design Practices Specific Design Criteria Case Studies End Effector Selection and Design End Effector Types General Design Practices Specific Design Criteria Case Studies 1 End Effector Types Mechanical Grippers Negative Pressure (vacuum) Magnetic Hooks Ladles

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

R&D from material preparation up to next generation manufacturing: opportunities for local companies

R&D from material preparation up to next generation manufacturing: opportunities for local companies R&D from material preparation up to next generation manufacturing: opportunities for local companies Prof. Christophe Ballif EPFL,IMT, PV-Lab and CSEM CSEM, PV-center 2000 Neuchâtel PV industry Ultra-low

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro

L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro Mercoledì 2 Aprile 2014 Antonio D Errico, Francesco Testa, Roberto Sabella, Ericsson Silicon Photonics Opportunities

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

PRECISION METAL STAMPINGS & ASSEMBLIES FOR THE MEDICAL DEVICE INDUSTRY

PRECISION METAL STAMPINGS & ASSEMBLIES FOR THE MEDICAL DEVICE INDUSTRY PRECISION METAL STAMPINGS & ASSEMBLIES FOR THE MEDICAL DEVICE INDUSTRY COMPONENTS & ASSEMBLIES FOR SURGICAL INSTRUMENTS & CLASS-CRITICAL IMPLANTABLE DEVICES COMPONENTS AND ASSEMBLIES FOR INDUSTRIES, INC.

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

FOR HIGH-TECH PRODUCTION

FOR HIGH-TECH PRODUCTION AUTOMATION & METROLOGY AUTOMATION & METROLOGY SOLUTIONS FOR HIGH-TECH PRODUCTION key technologies for multiple industries MANZ AG /// hightech solutions /// 5 2015 Acquisition of KLEO, a company of the

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

PCN Structure FY 13/14

PCN Structure FY 13/14 PCN Structure FY 13/14 A PCN FY 13/14 PCN text FY 13/14 QMS FY 12/14 Front End Materials A0101 Process Wafers CZ 150 mm CQT A0102 Process Wafers CZ 200 mm CQT A0103 Process Wafers FZ 150 mm CQT A0104 Process

More information

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages Atmel AVR211: Wafer Level Chip Scale Packages Features Allows integration using the smallest possible form factor Packaged devices are practically the same size as the die Small footprint and package height

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

Smart Systems for Healthcare and Energy Efficiency

Smart Systems for Healthcare and Energy Efficiency Smart Systems for Healthcare and Energy Efficiency EPOSS Annual Forum 8 th October 2010 Salvo Coffa Group VP, R&D General Manager Industrial & Multisegment Sector STMicroelectronics 1 Smart Systems Main

More information

Session Five: Modern XLPE Materials for Extruded Energy Cable Systems

Session Five: Modern XLPE Materials for Extruded Energy Cable Systems Session Five: Modern XLPE Materials for Extruded Energy Cable Systems Abstract Hakan Lennartsson Senior Technical Service Manager, Borouge Hong Kong Pte. Ltd. The first medium voltage cables using extruded

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications Screw thread image generated by WLI Steep PSS angles WLI color imaging Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications 3D optical microscopy is a mainstay metrology

More information