40-nm Stratix IV FPGAs

Size: px
Start display at page:

Download "40-nm Stratix IV FPGAs"

Transcription

1 40-nm Stratix IV FPGAs Innovation Without Compromise

2 Partnering With TSMC on 40-nm Development Altera and TSMC continue 15-year partnership A competitive edge for TSMC, Altera, and their customers Created leading-edge 40-nm process Employs 193-nm immersion photolithography, strained silicon, and extreme low-k material Accelerated development methodology Process co-development euse of core technologies Enhanced test chip methodology Allows for industry s fastest time to advanced processes Earliest access to 40-nm technology AND low-risk path to production 2

3 Stratix IV FPGAs: A Closer Look Highest density Up to 680K logic elements (LEs) Up to 22.4-Mbits internal AM Up to 1, x 18 multipliers Highest bandwidth and performance Up to 48 transceivers operating up to 8.5 Gbps Up to 4 x8 hard intellectual property (IP) blocks for PCI Express Gen 1 and Gen 2 Up to 748 giga multiply-accumulate operations per second (GMACS) digital signal processing (DSP) performance 2 speed grade performance advantage Lowest power Programmable Power Technology Quartus II PowerPlay technology 40-nm process benefits including 0.9V core voltage Highest density, highest performance AND lowest power Seamless FPGA prototyping to HardCopy ASIC production Quartus II 8.0: #1 in Performance and Productivity 3

4 Stratix IV FPGA Device Family Plan Device LEs Transceivers (8.5, 3.2 Gbps 1 ) LVDS I/Os Memory (Mbits) Multipliers (18x18) EP4SGX70 70K 24 (16,8) >40 > EP4SGX K 24 (16,8) >40 > Stratix IV GX device EP4SGX K 36 (24,12) EP4SGX K 36 (24,12) ,288 EP4SGX K 36 (24,12) EP4SGX K 36 (24,12) ,040 EP4SGX K 48 (32,16) ,024 EP4SE K EP4SE K ,288 Stratix IV E device EP4SE K EP4SE K ,040 EP4SE K ,024 EP4SE K , ,360 Notes: 1) Full duplex serial transceivers 2) Details on roadmap to faster speed transceivers available upon request Details subject to change 4

5 Stratix IV GX Device Package Plan Device F780 (29 mm) F1152 (35 mm) F1152 (35 mm) F1517 (40 mm) F1760 (43 mm) F1932 (45 mm) EP4SGX70 368, 28, 8 >450, >40, 24 EP4SGX , 28, 8 368, 28, 16 >450, >40, 24 Pin Migration EP4SGX , 28, 8 560, 44, , 44, , 88, 36 EP4SGX230 1 st Device 368, 28, 8 560, 44, , 44, , 88, 36 EP4SGX , 0, , 44, , 44, , 88, , 88, 36 EP4SGX , 0, , 44, , 44, , 88, , 88, 36 EP4SGX530 2 nd Device 560, 44, , 88, , 88, , 98, 48 Pin migration Total I/O, LVDS, transceiver counts Details subject to change Notes: FlipChip ball-grid array (BGA) with 1.0-mm pitch 5

6 Stratix IV E Device Package Plan Device F780 (29 mm) F1152 (35 mm) F1517 (40 mm) F1760 (43 mm) EP3SL , 112 Stratix III device EP3SE , 112 EP3SL , 112 1,104, 132 EP4SE , 56 EP4SE , 56 Stratix IV device EP4SE , , , 88 EP4SE , , , 88 EP4SE , , , 112 EP4SE , , 112 1,104, 132 Notes: FlipChip ball-grid array (BGA) with 1.0-mm pitch LVDS I/O count represents full duplex channels and are included in the total I/O count 6 Details subject to change

7 Unprecedented Transceiver Bandwidth Transceiver bandwidth (Gbps) Innovation Zone Devices kles Stratix II GX Stratix IV GX Virtex-5 LXT Virtex-5 SXT Virtex-5 FXT Transceivers available on both sides Up to 320 Gbps full-duplex bandwidth Up to 32 transceivers operating from 600 Mbps to 8.5 Gbps Up to 16 additional transceivers operating from 600 Mbps to 3.2 Gbps Up to 4 x8 PCI Express Gen 1, Gen 2 hard IP at 2.5/5.0 Gbps 7

8 Excellent 40-nm Transceiver Test Chip esults Transceiver test chip results Pattern: PBS 7 V od : 600 mv DJ: 10.3 ps J (MS): 1.23 ps Excellent jitter performance Low-risk path to production Watch the demo video at nm-stratix-iv-video.html 8.5 Gbps 8

9 obust Transceiver System Design 8.5 Gbps transceivers with superior signal integrity Jitter compliance for PCI Express, CEI-6, and Sonet/synchronous digital hierarchy (SDH) with margin Ability to drive 50 of F-4 backplane at Gbps with built-in pre-emphasis and equalization Plug & Play Signal Integrity, only from Altera Monitors and optimizes receive equalization over process, voltage, and temperature (PVT) Supports hot swapping of transceivers Watch the demo video to see Plug & Play Signal Integrity in action at 9

10 Protocol Support Protocol HardCopy IV ASICs Stratix IV FPGAs 3G Protocols PCI Express Gen 1 (x1, x2, x4, x8), PCI Express Cable Serial apidio (1x, 4x) Gigabit Ethernet, XAUI (IEEE 802.3ae), HiGig+ 3G Basic (proprietary), 3G SerialLite II CPI v3.0, OBSAI v2.0/p3-01 v4.0 SONET OC-3/12/48, GPON SATA, SAS SD, HD and 3G SDI, ASI Serial Data Converter (JESD204) SFI 5.1 Up to 8 Channels HyperTransport 3.0 Up to 8 Channels 6G Protocols PCI Express Gen 2 (x1, x2, x4, x8) HiGig2, CEI 6G (S/L), Interlaken, DD-XAUI, SPAUI 6G basic (proprietary), 6G SerialLite II 6G CPI/OBSAI Fibre Channel (FC1/FC2/FC4) 10

11 Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit + - Output egister Unit Optional ND & SAT Unit DSP Performance Through Parallelism ΣΣΣ + Optional ND & SAT Unit Output egister Unit + - ΣΣΣ + Σ + ΣΣΣ + ΣΣΣ + ΣΣΣ + + ΣΣΣ Optional ND & SAT Unit Output egister Unit + ΣΣΣ + Σ + ΣΣΣ Optimal DSP/memory/ logic ratio + ΣΣΣ + ΣΣΣ + ΣΣΣ Total 18 x 18 multipliers = 1,360 esources per 18 x 18 multiplier 400 registers + ΣΣΣ Maximum clock frequency = 550 MHz 17 Kbit embedded memory + ΣΣΣ DSP performance = 1,360 * 550 MHz = 500 LEs + ΣΣΣ 748 GMACS 11

12 Advantages Over Dedicated DSPs Combination of logic, memory, and multipliers allows for efficient implementation of arithmetic DSP functions Integrate multiple DSP devices into a single Stratix IV FPGA Process multiple signal data streams at lower cost per channel than dedicated DSP devices DSP DSP DSP DSP 12

13 Power Efficiency = Maximum Performance/Watt 40-nm process benefits enable power reduction Programmable Power Technology Highest performance where you need it, lowest power everywhere else 13

14 HardCopy IV ASICs: A Closer Look Seamless prototyping One design, one register transfer level Now with transceivers (TL), one IP set, one tool delivers FPGA and ASIC implementations Now with transceivers Low risk, lowest total cost access to deep sub-micron ASIC benefits Low power 50 percent or lower than companion FPGA Guaranteed first-time right The benefits of FPGAs AND the benefits of ASICs 14

15 HardCopy IV GX ASICs HardCopy IV GX ASIC Device 1 FPGA Prototype Packages 2 FF780 LF1152 FF1152 LF1517 FF1517 I/O Pins Memory Bits (Mbits) 18x18 Mult. PLLs ASIC Gates 3 HC4GX1YZ EP4SGX M M HC4GX2YZ EP4SGX M M HC4GX3YZ EP4SGX M 13.3M /6/8 9.2M HC4GX4YZ EP4SGX M 13.3M 832 3/6/8 7.7M HC4GX5YZ EP4SGX M 17.7M /6/8 9.4M HC4GX6YZ EP4SGX M M /8 11.5M Note1: Y = I/O count, Z = package type Note 2: Numbers in cells indicate package availability and denote the number of 6.5+ and 3.2+ Gbps transceivers. Performance may increase based on characterization Note 3: ASIC gates calculated as 12 gates per LE; 5000 gates per 18x18 multiplier. 15

16 HardCopy IV E ASICs HardCopy IV E ASIC Device 1 FPGA Prototype Packages F484 F780 F1152 F1517 I/O Pins Memory Bits 18x18 Multipliers PLLs ASIC Gates 3 HC4E2YZ EP4SE110 W,F W,F M M HC4E3YZ EP4SE230 W,F W,F M 1, M HC4E4YZ EP4SE290 W,F L,F L,F M 13.3M 832 4/8/12 7.6M HC4E5YZ EP4SE360 L,F L,F M 1,040 4/8/12 9.5M HC4E6YZ EP4SE530 L,F L,F M 1,024 8/ M HC4E7YZ EP4SE680 L,F L,F M 1,024 8/ M Note1: Y = I/O count, Z = package type Note 2: Performance may increase based on characterization Note 3: ASIC gates calculated as 12 gates per LE; 5000 gates per 18x18 multiplier 16

17 HardCopy IV E ASICs HardCopy IV E ASIC Device 1 FPGA Prototype Packages F484 F780 F1152 F1517 I/O Pins Memory Bits 18x18 Multipliers PLLs ASIC Gates 3 HC4E2YZ EP4SE110 W,F W,F M M HC4E3YZ EP4SE230 W,F W,F M 1, M HC4E4YZ EP4SE290 W,F L,F L,F M 13.3M 832 4/8/12 7.6M HC4E5YZ EP4SE360 L,F L,F M 1,040 4/8/12 9.5M HC4E6YZ EP4SE530 L,F L,F M 1,024 8/ M HC4E7YZ EP4SE680 L,F L,F M 1,024 8/ M Note1: Y = I/O count, Z = package type Note 2: Performance may increase based on characterization Note 3: ASIC gates calculated as 12 gates per LE; 5000 gates per 18x18 multiplier 17

18 Technical Engagement Process Tape in Bring up system with FPGA prepare design for hand-off Design center implementation andverification Tape out Production-quality, fully tested samples Custom mask fabrication assembly and test System ready Sample approval Production 6 weeks standard 8 weeks standard ~ 3 Weeks 12 Weeks Time to samples: 9-14 Wks Complete flow: as fast as 24 weeks 18

19 equired Tools HardCopy ASIC Standard cell ASIC COT TL synthesis Physical synthesis Simulation STA (front-end) Place and route (front-end) Pin planning Quartus II software Third-party EDA Power estimation Third-party EDA DFT STA (back-end) Place and route (back-end) Formal verification Parasitic extraction LVS/DC Single handoff turn-key process performed by Altera in 6 weeks typical ECO driven flow with numerous handoffs performed by ASIC vendor in 8 to 26 weeks Typical tool cost $3K ~$300K ~$1M 19

High-Speed SERDES Interfaces In High Value FPGAs

High-Speed SERDES Interfaces In High Value FPGAs High-Speed SERDES Interfaces In High Value FPGAs February 2009 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 High-Speed SERDES

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

Arquitectura Virtex. Delay-Locked Loop (DLL)

Arquitectura Virtex. Delay-Locked Loop (DLL) Arquitectura Virtex Compuesta de dos elementos principales configurables : CLBs y IOBs. Los CLBs se interconectan a través de una matriz general de routeado (GRM). Posse una intefaz VersaRing que proporciona

More information

Building Blocks for Rapid Communication System Development

Building Blocks for Rapid Communication System Development White Paper Introduction The explosive growth of the Internet has placed huge demands on the communications industry to rapidly develop and deploy new products that support a wide array of protocols with

More information

FPGAs in Next Generation Wireless Networks

FPGAs in Next Generation Wireless Networks FPGAs in Next Generation Wireless Networks March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

White Paper Streaming Multichannel Uncompressed Video in the Broadcast Environment

White Paper Streaming Multichannel Uncompressed Video in the Broadcast Environment White Paper Multichannel Uncompressed in the Broadcast Environment Designing video equipment for streaming multiple uncompressed video signals is a new challenge, especially with the demand for high-definition

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

FPGAs for High-Performance DSP Applications

FPGAs for High-Performance DSP Applications White Paper FPGAs for High-Performance DSP Applications This white paper compares the performance of DSP applications in Altera FPGAs with popular DSP processors as well as competitive FPGA offerings.

More information

White Paper 40-nm FPGAs and the Defense Electronic Design Organization

White Paper 40-nm FPGAs and the Defense Electronic Design Organization White Paper 40-nm FPGAs and the Defense Electronic Design Organization Introduction With Altera s introduction of 40-nm FPGAs, the design domains of military electronics that can be addressed with programmable

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP Ken Wyllie, CERN 1 Outline Optoelectronics What? Why? How? Experience in HEP (LHC) & future

More information

Quartus II Software Version 9.1, SP1 Device Support Release Notes

Quartus II Software Version 9.1, SP1 Device Support Release Notes Quartus II Software Version 9.1, SP1 Device Support Release Notes February 2010 RN-01051-1.0 This document provides late-breaking information about device support in this version of the Altera Quartus

More information

Tyrant: A High Performance Storage over IP Switch Engine

Tyrant: A High Performance Storage over IP Switch Engine Tyrant: A High Performance Storage over IP Switch Engine Stuart Oberman, Rodney Mullendore, Kamran Malik, Anil Mehta, Keith Schakel, Michael Ogrinc, Dane Mrazek Hot Chips 13, August 2001 1 Background:

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core 1 Introduction The implements, in conjunction with a triple speed 10/100/1000 MAC, Layer 3 network acceleration functions, which are designed to accelerate the processing of various common networking protocols

More information

Optical Transport Networks for 100G Implementation in FPGAs

Optical Transport Networks for 100G Implementation in FPGAs Optical Transport Networks for 100G Implementation in FPGAs WP-01115-1.1 White Paper Based on announcements from vendors, enterprises and service providers, 100G system deployment is finally gaining real

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

White Paper Increase Flexibility in Layer 2 Switches by Integrating Ethernet ASSP Functions Into FPGAs

White Paper Increase Flexibility in Layer 2 Switches by Integrating Ethernet ASSP Functions Into FPGAs White Paper Increase Flexibility in Layer 2 es by Integrating Ethernet ASSP Functions Into FPGAs Introduction A Layer 2 Ethernet switch connects multiple Ethernet LAN segments. Because each port on the

More information

White Paper Selecting the Ideal FPGA Vendor for Military Programs

White Paper Selecting the Ideal FPGA Vendor for Military Programs White Paper Introduction As digital processing technologies such as digital signal processors, FPGAs, and CPUs become more complex and powerful, product and feature differentiation among vendors has significantly

More information

Using the On-Chip Signal Quality Monitoring Circuitry (EyeQ) Feature in Stratix IV Transceivers

Using the On-Chip Signal Quality Monitoring Circuitry (EyeQ) Feature in Stratix IV Transceivers Using the On-Chip Signal Quality Monitoring Circuitry (EyeQ) Feature in Stratix IV Transceivers AN-605-1.2 Application Note This application note describes how to use the on-chip signal quality monitoring

More information

Cyclone V Device Overview

Cyclone V Device Overview 2016.06.10 CV-51001 Subscribe The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

Reducing Power Consumption and Increasing Bandwidth on 28-nm FPGAs

Reducing Power Consumption and Increasing Bandwidth on 28-nm FPGAs Reducing Power Consumption and Increasing Bandwidth on 28-nm FPGAs WP-01148-2.0 White Paper Lower power consumption and higher bandwidth are now the two dominant requirements in designing next-generation

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

SuperSpeed USB Host: Jeff Ravencraft, USB-IF president and chairman

SuperSpeed USB Host: Jeff Ravencraft, USB-IF president and chairman SuperSpeed USB Host: Jeff Ravencraft, USB-IF president and chairman Agenda Introduction & technology overview Jeff Ravencraft Microsoft Corporation Fred Bhesania NEC Electronics Corporation Yoshiyuki Tomoda

More information

AGIPD Interface Electronic Prototyping

AGIPD Interface Electronic Prototyping AGIPD Interface Electronic Prototyping P.Goettlicher I. Sheviakov M. Zimmer - Hardware Setup, Measurements - ADC (AD9252 14bit x 8ch x 50msps ) readout - Custom 10G Ethernet performance - Conclusions Test

More information

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC Nutaq PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET QUEBEC I MONTREAL I N E W YO R K I nutaq.com Nutaq PicoDigitizer 125-Series The PicoDigitizer 125-Series

More information

Cloud-Based Apps Drive the Need for Frequency-Flexible Clock Generators in Converged Data Center Networks

Cloud-Based Apps Drive the Need for Frequency-Flexible Clock Generators in Converged Data Center Networks Cloud-Based Apps Drive the Need for Frequency-Flexible Generators in Converged Data Center Networks Introduction By Phil Callahan, Senior Marketing Manager, Timing Products, Silicon Labs Skyrocketing network

More information

White Paper Altera s Strategy for Delivering the Benefits of the 65-nm Semiconductor Process

White Paper Altera s Strategy for Delivering the Benefits of the 65-nm Semiconductor Process Introduction White Paper Altera s Strategy for Delivering the Benefits of the 65-nm Semiconductor Process Altera's strategy for delivering the benefits of the 65-nm semiconductor manufacturing process

More information

Innovating With a Full Spectrum of 40-nm FPGAs and ASICs with Transceivers

Innovating With a Full Spectrum of 40-nm FPGAs and ASICs with Transceivers Innovating With a Full Spectrum of 40-nm FPGAs and ASICs with Transceivers WP-01078-1.4 White Paper Increasing bandwidth requirements for broadband services are driving silicon vendors to use more and

More information

Selecting the Optimum PCI Express Clock Source

Selecting the Optimum PCI Express Clock Source Selecting the Optimum PCI Express Clock Source PCI Express () is a serial point-to-point interconnect standard developed by the Component Interconnect Special Interest Group (PCI-SIG). lthough originally

More information

Xilinx 7 Series FPGA Power Benchmark Design Summary May 2015

Xilinx 7 Series FPGA Power Benchmark Design Summary May 2015 Xilinx 7 Series FPGA Power Benchmark Design Summary May 15 Application-centric Benchmarking Process 1G Packet Processor OTN Muxponder ASIC Emulation Wireless Radio & Satellite Modem Edge QAM AVB Switcher

More information

Complete ASIC & COT Solutions 1986-2008

Complete ASIC & COT Solutions 1986-2008 Complete ASIC & COT Solutions 1986-2008 www.avnet-asic.com Nadav Ben-Ezer Managing Director 1 March 5th, 2008 Core Business ASIC/SoC Design and Implementation RTL Design Sub-system IP Integration RTL to

More information

Reducing Total System Cost with Low-Power 28-nm FPGAs

Reducing Total System Cost with Low-Power 28-nm FPGAs Reducing Total System Cost with Low-Power 28-nm FPGAs WP-01180-1.1 White Paper When building systems for high-volume applications, it is very important to keep costs in check. There are several dimensions

More information

design Synopsys and LANcity

design Synopsys and LANcity Synopsys and LANcity LANcity Adopts Design Reuse with DesignWare to Bring Low-Cost, High-Speed Cable TV Modem to Consumer Market What does it take to redesign a commercial product for a highly-competitive

More information

100 GBE AND BEYOND. Greg Hankins <ghankins@brocade.com> NANOG52. Diagram courtesy of the CFP MSA. NANOG52 2011/06/14

100 GBE AND BEYOND. Greg Hankins <ghankins@brocade.com> NANOG52. Diagram courtesy of the CFP MSA. NANOG52 2011/06/14 100 GBE AND BEYOND Greg Hankins NANOG52 Diagram courtesy of the CFP MSA. NANOG52 2011/06/14 Agenda and What s Covered in This Presentation Ethernet interface technology Overview

More information

What Determines FPGA Power Requirements?

What Determines FPGA Power Requirements? Understanding and Meeting FPGA Power Requirements by Amanda Alfonso, Product Marketing Manager WP-01234-1.0 White Paper With all the advantages of an FPGA s flexible implementation comes one growing challenge:

More information

LLRF. Digital RF Stabilization System

LLRF. Digital RF Stabilization System LLRF Digital RF Stabilization System Many instruments. Many people. Working together. Stability means knowing your machine has innovative solutions. For users, stability means a machine achieving its full

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

FPGA Design From Scratch It all started more than 40 years ago

FPGA Design From Scratch It all started more than 40 years ago FPGA Design From Scratch It all started more than 40 years ago Presented at FPGA Forum in Trondheim 14-15 February 2012 Sven-Åke Andersson Realtime Embedded 1 Agenda Moore s Law Processor, Memory and Computer

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

10 Gigabit Ethernet: Scaling across LAN, MAN, WAN

10 Gigabit Ethernet: Scaling across LAN, MAN, WAN Arasan Chip Systems Inc. White Paper 10 Gigabit Ethernet: Scaling across LAN, MAN, WAN By Dennis McCarty March 2011 Overview Ethernet is one of the few protocols that has increased its bandwidth, while

More information

Driving SERDES Devices with the ispclock5400d Differential Clock Buffer

Driving SERDES Devices with the ispclock5400d Differential Clock Buffer October 2009 Introduction Application Note AN6081 In this application note we focus on how the ispclock 5406D and a low-cost CMOS oscillator can be utilized to drive the reference clock for SERDES-based

More information

PCI Express: The Evolution to 8.0 GT/s. Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys

PCI Express: The Evolution to 8.0 GT/s. Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys PCI Express: The Evolution to 8.0 GT/s Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys PCIe Enterprise Computing Market Transition From Gen2 to Gen3 Total PCIe instances. 2009

More information

Using Pre-Emphasis and Equalization with Stratix GX

Using Pre-Emphasis and Equalization with Stratix GX Introduction White Paper Using Pre-Emphasis and Equalization with Stratix GX New high speed serial interfaces provide a major benefit to designers looking to provide greater data bandwidth across the backplanes

More information

Using FPGAs to Design Gigabit Serial Backplanes. April 17, 2002

Using FPGAs to Design Gigabit Serial Backplanes. April 17, 2002 Using FPGAs to Design Gigabit Serial Backplanes April 17, 2002 Outline System Design Trends Serial Backplanes Architectures Building Serial Backplanes with FPGAs A1-2 Key System Design Trends Need for.

More information

The Bus (PCI and PCI-Express)

The Bus (PCI and PCI-Express) 4 Jan, 2008 The Bus (PCI and PCI-Express) The CPU, memory, disks, and all the other devices in a computer have to be able to communicate and exchange data. The technology that connects them is called the

More information

What s on the Wire? Physical Layer Tapping with Project Daisho

What s on the Wire? Physical Layer Tapping with Project Daisho What s on the Wire? Physical Layer Tapping with Project Daisho Dominic Spill, Michael Kershaw, Michael Ossmann Black Hat USA 2013 Abstract Daisho is a project to produce an extensible, open source monitor

More information

Arria V Device Overview

Arria V Device Overview 2015.12.21 AV-51001 Subscribe The Arria V device family consists of the most comprehensive offerings of mid-range FPGAs ranging from the lowest power for 6 gigabits per second (Gbps) and 10 Gbps applications,

More information

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed NAME OF REPORT SECTION 3 HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed Faster is more profitable in the front office

More information

3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation

3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation 3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation Runjing Zhou Inner Mongolia University E mail: auzhourj@163.com Jinsong Hu Cadence Design Systems E mail: jshu@cadence.com 17th IEEE Workshop

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Universal Wideband Edge QAM Solution. A New Way to Manage the Edge and Future-Proof Your Network

Universal Wideband Edge QAM Solution. A New Way to Manage the Edge and Future-Proof Your Network Universal Wideband Edge QAM Solution A New Way to Manage the Edge and Future-Proof Your Network Rising to the challenge of today s high-bandwidth applications It s an exciting time in our industry, with

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

System Design Issues in Embedded Processing

System Design Issues in Embedded Processing System Design Issues in Embedded Processing 9/16/10 Jacob Borgeson 1 Agenda What does TI do? From MCU to MPU to DSP: What are some trends? Design Challenges Tools to Help 2 TI - the complete system The

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER ATMEL FPGA 3rd User Group Workshop 2010, 3rd June Christophe POURRIER Summary Sodern first experience with AT40K Megha-Tropiques Project PHARAO Project ATF280 Evaluation Tests performed on the first development

More information

TIP-VBY1HS Data Sheet

TIP-VBY1HS Data Sheet Preliminary DATA SHEET Preliminary TIP-VBY1HS Data Sheet V-by-One HS Standard IP for Xilinx FPGA Rev.1.00 Tokyo Electron Device Ltd. Rev1.00 1 Revision History The following table shows the revision history

More information

White Paper Video and Image Processing Design Using FPGAs

White Paper Video and Image Processing Design Using FPGAs White Paper Video and Image Processing Design Using FPGAs Introduction In this paper, we will look at the trends in video and image processing that are forcing developers to re-examine the architectures

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

Why 25GE is the Best Choice for Data Centers

Why 25GE is the Best Choice for Data Centers Why 25GE is the Best Choice for Data Centers Gilles Garcia Xilinx Director Wired Communication Business Santa Clara, CA USA April 2015 1 Outline - update Data center drivers Why 25GE The need for 25GE

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

XFP Optical Receiver, 80km Reach

XFP Optical Receiver, 80km Reach Features Supports 9.95Gb/s to 11.1Gb/s bit rates Hot-pluggable XFP footprint Maximum link length of 80km with SMF APD ROSA receiver XFP MSA package with duplex LC connector No reference clock required

More information

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003 Semiconductor design Outsourcing: Global trends and Indian perspective Vasudevan A Date: Aug 29, 2003 Role of Semiconductors in Products Source: IC Insights Semiconductor content in end product increasing

More information

Addressing 100-GbE Line-Card Design Challenges on 28-nm FPGAs

Addressing 100-GbE Line-Card Design Challenges on 28-nm FPGAs Addressing 100-GbE Line-Card Design Challenges on 8-nm FPGAs WP-0118-.0 White Paper As various standard bodies finalize their 100G standards for transport, Ethernet, and optical interfaces, FPGAs play

More information

White Paper Video Surveillance Implementation Using FPGAs

White Paper Video Surveillance Implementation Using FPGAs White Paper Surveillance Implementation Using s Introduction Currently, the video surveillance industry uses analog CCTV cameras and interfaces as the basis of surveillance systems. These system components

More information

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module.

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module. Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module. 1 Pericom has been a leader in providing Signal Integrity Solutions since 2005, with over 60 million units shipped Platforms

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25

FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25 FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25 December 2014 FPGAs in the news» Catapult» Accelerate BING» 2x search acceleration:» ½ the number of servers»

More information

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications 1 A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications Simon McIntosh-Smith Director of Architecture 2 Multi-Threaded Array Processing Architecture

More information

Cyclone III Device Handbook Volume 1

Cyclone III Device Handbook Volume 1 Cyclone III Device Handbook Cyclone III Device Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com CIII5V1-4.2 Document last updated for Altera Complete Design Suite version: Document publication

More information

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS

SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS A Lattice Semiconductor White Paper May 2005 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503)

More information

Model-based system-on-chip design on Altera and Xilinx platforms

Model-based system-on-chip design on Altera and Xilinx platforms CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT Model-based system-on-chip design on Altera and Xilinx platforms Ronald Grootelaar, System Architect RJA.Grootelaar@3t.nl Agenda 3T Company profile Technology

More information

Quartus II Software and Device Support Release Notes Version 15.0

Quartus II Software and Device Support Release Notes Version 15.0 2015.05.04 Quartus II Software and Device Support Release Notes Version 15.0 RN-01080-15.0.0 Subscribe This document provides late-breaking information about the Altera Quartus II software release version

More information

FPGA Accelerator Virtualization in an OpenPOWER cloud. Fei Chen, Yonghua Lin IBM China Research Lab

FPGA Accelerator Virtualization in an OpenPOWER cloud. Fei Chen, Yonghua Lin IBM China Research Lab FPGA Accelerator Virtualization in an OpenPOWER cloud Fei Chen, Yonghua Lin IBM China Research Lab Trend of Acceleration Technology Acceleration in Cloud is Taking Off Used FPGA to accelerate Bing search

More information

DDR subsystem: Enhancing System Reliability and Yield

DDR subsystem: Enhancing System Reliability and Yield DDR subsystem: Enhancing System Reliability and Yield Agenda Evolution of DDR SDRAM standards What is the variation problem? How DRAM standards tackle system variability What problems have been adequately

More information

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw Feb. 2013 Course Overview

More information

Product Information D23m Digital I/O System

Product Information D23m Digital I/O System Product Information D23m Digital I/O System 1-11 Contents 1 SUMMARY PRODUCT DESCRIPTION... 3 2 OVERVIEW... 4 3 SYNC/CLOCKING... 5 4 ETHERNET AND CONTROL... 5 5 POWER AND STATUS... 6 5.1 POWER SUPPLY AND

More information

Produto: 1.25Gbps SFP Bi-Directional Transceiver, 10km Reach (1310nm TX/1550nm RX) Modelo: V7-WDM-0210AD Documentação: Técnica/Datasheet

Produto: 1.25Gbps SFP Bi-Directional Transceiver, 10km Reach (1310nm TX/1550nm RX) Modelo: V7-WDM-0210AD Documentação: Técnica/Datasheet Produto: 1.25Gbps SFP Bi-Directional Transceiver, 10km Reach (1310nm TX/1550nm RX) Modelo: V7-WDM-0210AD Documentação: Técnica/Datasheet Features Dual data-rate of 1.25Gbps/1.063Gbps operation 1550nm DFB

More information

Cyclone V Device Handbook Volume 1: Device Overview and Datasheet

Cyclone V Device Handbook Volume 1: Device Overview and Datasheet Cyclone V Device Handbook Volume 1: Device Overview and Datasheet Cyclone V Device Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com CV-5V1-1.2 Document last updated for Altera Complete Design

More information

Multi-Gigabit Interfaces for Communications/Datacomm

Multi-Gigabit Interfaces for Communications/Datacomm Multi-Gigabit Interfaces for Communications/Datacomm Richard Dugan, Drew Plant Hewlett-Packard Integrated Circuit Business Division email: richard_dugan@hp.com, drew_plant@hp.com 802.3 Meeting, Austin

More information

XMC Modules. XMC-6260-CC 10-Gigabit Ethernet Interface Module with Dual XAUI Ports. Description. Key Features & Benefits

XMC Modules. XMC-6260-CC 10-Gigabit Ethernet Interface Module with Dual XAUI Ports. Description. Key Features & Benefits XMC-6260-CC 10-Gigabit Interface Module with Dual XAUI Ports XMC module with TCP/IP offload engine ASIC Dual XAUI 10GBASE-KX4 ports PCIe x8 Gen2 Description Acromag s XMC-6260-CC provides a 10-gigabit

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview March 2016 CYIV-51001-2.0 1. Cyclone IV FPGA Device Family Overview CYIV-51001-2.0 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

Flexible I/O Using FMC Standard FPGA and CPU Track B&C HWCONF 2013

Flexible I/O Using FMC Standard FPGA and CPU Track B&C HWCONF 2013 Flexible I/O Using FMC Standard FPGA and CPU Track B&C HWCONF 2013 THALES NEDERLAND B.V. AND/OR ITS SUPPLIERS THIS INFORMATION CARRIER CONTAINS PROPRIETARY INFORMATION WHICH SHALL NOT BE USED, REPRODUCED

More information

Enhance Service Delivery and Accelerate Financial Applications with Consolidated Market Data

Enhance Service Delivery and Accelerate Financial Applications with Consolidated Market Data White Paper Enhance Service Delivery and Accelerate Financial Applications with Consolidated Market Data What You Will Learn Financial market technology is advancing at a rapid pace. The integration of

More information

10/100/1000Mbps Ethernet MAC with Protocol Acceleration MAC-NET Core with Avalon Interface

10/100/1000Mbps Ethernet MAC with Protocol Acceleration MAC-NET Core with Avalon Interface 1 Introduction Ethernet is available in different speeds (10/100/1000 and 10000Mbps) and provides connectivity to meet a wide range of needs from desktop to switches. MorethanIP IP solutions provide a

More information

Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines

Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines Mircea Popa Abstract: The paper approaches the problem of control and selecting possibilities offered by the PC parallel

More information

FPGA Music Project. Matthew R. Guthaus. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc.

FPGA Music Project. Matthew R. Guthaus. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc.edu Biographic Info 2006 PhD, University of Michigan in Electrical Engineering 2003-2005 Statistical Physical

More information

A Scalable VISC Processor Platform for Modern Client and Cloud Workloads

A Scalable VISC Processor Platform for Modern Client and Cloud Workloads A Scalable VISC Processor Platform for Modern Client and Cloud Workloads Mohammad Abdallah Founder, President and CTO Soft Machines Linley Processor Conference October 7, 2015 Agenda Soft Machines Background

More information