IMPROVING TRANSPUTER INTERNAL MEMORY RELIABILITY WITH TRANSPARENT TEST: CASE STUDY AND PERFORMANCE ANALYSIS

Size: px
Start display at page:

Download "IMPROVING TRANSPUTER INTERNAL MEMORY RELIABILITY WITH TRANSPARENT TEST: CASE STUDY AND PERFORMANCE ANALYSIS"

Transcription

1 University of Hull, UK, p69-614, August, 1997 IMPROVING TRANSPUTER INTERNAL MEMORY RELIABILITY WITH TRANSPARENT TEST: CASE STUDY AND PERFORMANCE ANALYSIS Eduardo Augusto Bezerra 1, Fabian Vargas 2, Ingrid Jansch-Pôrto, João Paulo Kitajima 4 1 eduardob@infpucrsbr - Instituto de Informática PUCRS - Porto Alegre Brazil 2 vargas@eepucrsbr - Escola Politécnica PUCRS - Porto Alegre Brazil ingrid@infufrgsbr - Instituto de Informática UFRGS - Porto Alegre Brazil 4 kitajima@dccufmgbr Depto de Ciência da Computação UFMG - Belo Horizonte - Brazil Abstract: This paper presents a test procedure allowing on-line fault detection for the transputer internal memory during periodical field tests The technique is based on Transparent BIST, which was implemented by software (transputer instruction set) and by taking advantage of the existing transputer hardware characteristics The main characteristics of the transputer and internal memory are presented, as well as a detailed description of the test implementation and experimental results Additionally, the degradation induced by the periodical field test in global system performance is discussed The test approach can also be applied at the board level in order to verify the main memory system Aiming to improve the performance of this type of test, it is also described some features being developed along with the PISH Project (Projeto Integrado de Software/Hardware - CNPq - Protem/CC) These features deal with the use of programmable logic devices (FPGAs) to implement dedicated hardware for boardlevel testing purposes Keywords: Memory cell; Test generation; Fault detection; Parallel processors; Satellites 1 INTRODUCTION Like most of the commercial processors, the design of INMOS transputers is not based on Design for Testability (DfT) techniques (INMOS 1988) However, transputers are drastically different from other commercial processors when the support for concurrent and parallel processing is taken into account With respect to their architecture, while commercial processors present basically an internal floating point unit (FPU) and cache memory, transputers present (in addition to the internal FPU and RAM memory) timers/counters, processes scheduler, interruption controllers and serial communication channels This high level integration of functions into a processor, associated with the possibilities of implementing high-performance distributed computation arrays, allows the use of transputers in applications where volume and weight of the electronic equipment must be as small as possible such as is the case for avionics and spacecraft applications (Thompson, 1991; Castro and Gough, 1992; Paula, 1995) Electronics used in these types of applications must keep a fault tolerant behaviour even in the presence of faults (Nicolaids, et al, 199; Vargas and Nicolaids, 1994) In radiationexposed environments, the accumulated (total dose) radiation degrades the electrical parameters of transistors (eg threshold voltage, carrier mobility and leakage current) This has as consequence the generation of deleterious spurious erroneous outputs until permanent functional failures of circuits (Nicolaids, et al, 199) The test approach described and implemented in this paper is intended for using in such critical applications, particularly in the case of micro-satellites, where reliability and cost constraints are always at a premium TestC PU TestFPU Manager Fig 1 Transputer testing processes TestRAM TestLinks The use of transputers in applications where a high reliability level is required depends on the use of online fault detection techniques Considering that DfT techniques were not integrated into the design of the IMS T8 transputer, a test approach for it has been proposed by Bezerra and Jansch-Porto (1995a); ad hoc techniques are used to perform on-line periodical field tests on the transputer IMS T8 by exploring functional block partitioning and a test model based on the specific organisation of this component Then

2 University of Hull, UK, p69-614, August, 1997 each functional block can be tested separately The CPU and FPU are tested through a functional method based on (Robach and Saucier, 198), with the processor instructions being modelled by means of graphs, which form the basis for a minimum instruction set (Bezerra and Jansch-Porto, 1995b) The execution of this instruction set exercises all the elements in the respective functional block of the transputer However, this procedure is not a direct implementation of the Robach s approach (198) due to the particular characteristics of the transputer (instructions for parallel process execution and its internal block structure) A reduced instruction set allows a fast on-line test, minimising performance degradation For the remaining blocks (RAM memory and communication channels), specific test procedures were developed The test procedure proposed by Bezerra and Jansch-Porto (1995a) is composed by communicating concurrent processes (see Fig 1) A manager process monitors and synchronises the testing processes of the transputer functional blocks The testing processes were written in occam2 (INMOS, 1989) and assembly (INMOS, 1987) The frequency in which the testing processes are applied to each block is determined independently Depending on application constraints, some test procedures may be omitted to reduce system performance degradation The internal RAM memory test is a problem not adequately solved by Bezerra and Jansch-Porto (1995b), although two algorithms for the TestRAM process were proposed The first one verifies the memory by off-line test or during system start-up and it is restricted to these conditions because the first algorithm (the mtest program developed by INMOS) erases the contents of the memory The second algorithm copies the contents of the internal RAM into an external RAM previously to the test This procedure is not suitable for periodical tests of the memory Next it is proposed a test approach that does not erase the contents of the memory when implementing process TestRAM which intends to solve those problems This approach is named Transparent BIST (Nicolaidis, 1992; Kebichi and Nicolaidis, 1992) Therefore, in the following resent, an implementation of this approach according to the specific transputer architecture is presented It is also discussed some modifications in this test in order to improve test execution time of both internal and external memory at the board level of the system 2 PRELIMINARIES A typical memory is composed by an address decoder, address and data registers, read/write logic and memory cells (Breuer and Friedman, 1976) This concept is also applicable to the transputer RAM A RAM memory is said to be fault-free if it can store a or a 1 in each cell, change this cell from to 1 and vice-versa, and finally, read all these values in each cell of the memory RAM memory test procedures are generally executed by means of read/write test patterns applied to the memory cells, being the address decoding and read/write logic implicitly tested with the test of memory cells Due to the fact that the RAM memory presents a very regular structure, the generation of the test procedure is reasonably simple, but other sources of problems exist These ones are the test time duration that grows according to the assumed fault model and the moment when the test is applied, since if an on-line test is carried out it must be ensured that the contents of the RAM memory at that moment will not be lost In Breuer and Friedman (1976), several test procedures for RAM memories are described such as MSCAN, marching, walking and galloping Among them, the marching test is considered the more powerful due to its simplicity of generation and reduced time for application (Castro Alves, 1995) The marching test is generally applied by off-line tests The drawback of this approach for on-line tests is that the contents of the memory are all lost Therefore, if used as on-line test, memory contents have to be previously saved into an auxiliary memory; and after restored This constraint implies in an unacceptable test cost in terms of time and hardware overhead To solve the mentioned problem, Nicolaidis (1992) proposed the Transparent BIST to test on-line RAM memories, which ensures that the contents of the memory are not lost by the procedure application The Transparent BIST approach is introduced by Nicolaidis (1992) together with the requirements to transform a conventional test algorithm, the Marinescu s approach (Marinescu, 1982), into the Transparent one, with the same fault coverage (see Fig 2a) In this algorithm, four read(ri)/write(wi) sequences are performed, where index i represents the memory cell that is being accessed In sequences S1 and S2, the cells are accessed in one direction, while in sequences S and S4 the cells are accessed in the opposite direction This is done in order to detect decoder and read/write logic faults During the first read operation in S1, the initial values for the test are obtained Then, successive write operations inverting or not the value to be written and successive read operations inverting or not the value to be read are performed The symbol Wi ( Ri ) means that the value to be written (obtained during a read) must be inverted with respect to the value obtained (read) during the last write (read) At

3 University of Hull, UK, p69-614, August, 1997 (a) S1 S2 S S4 W 1 W 1 W 1 W 1 W 1 W 1 W W 1 W 1 W 1 W 2 W 2 W 2 R W W W W n W n W n (b) R W 2 W 2 R W R W W n W n W 2 W 2 R W W W n W n W S1 o S2 o S o S4 o R R R W n W 2 R R R W 2 2 R W R W W n W n W 2 1 Fig 2 Transparent BIST (a) Modified Marinescu s algorithm; (b) Test signature generation the end of the test, the value written during the last write operation of sequence S4 will be the same value read during the first read operation of sequence S1, and thus, characterising the Transparent BIST An important step of this approach is the comparison of the final test result with the reference one Since the final state (as well as the initial state) of the memory cells is unknown, a test signature must be generated before the test begins To do so, in order to generate the test signature, the sequences listed in Fig 2b are executed The obtained memory signature is then stored for further comparison with the test results obtained after the read operations of sequence S4 The fault model adopted in such an approach is composed of: stuck-at faults; coupling faults; transition faults; read/write logic faults; and addressing faults Once the whole test procedure is finished, the obtained fault coverage is 1% for the assumed fault model THE PROPOSED APPROACH The transparent test is implemented in three steps: CRC calculation of the memory contents (memory signature); execution of the test procedure over the memory; and the verification of the signature generated during the test against the value obtained during the first step In order to do the test, the following resources are needed: support for CRC generation; registers to store CRC results; and a register to store memory contents of the word under test The registers used to store temporary data are needed because of the fact that, once started the test, new data cannot be added to the memory, since this action would change memory signature In the case of the transputer memory, no additional hardware is necessary to implement the transparent BIST which may be directly implemented using the existing CPU registers, the instruction for CRC calculation (crcword), and words from the external memory for the storage of auxiliary variables Then, the signature is computed by using the crcword instruction that calculates the CRC over the bits of a word The transparent test for the transputer memory may be expressed by the following algorithm: Used variables: crc1 and crc2 - used to store the signatures obtained after each test step; desloc - used to store the offset related to the internal memory; max4k - address of the last position to be tested in the internal memory; aux4k - stores partial results; mem4k - the mem4k variable is an array of integer with 996 units of 4 bytes each (making 984 bytes to be tested) The mem4k[desloc] addresses memory words that may be written or read To the memory address #1C (first address of the user internal memory - the addresses before #1C are used for the processor workspace) is associated the label mem4k[] (occam2 command: PLACE mem4k AT #1C), allowing the internal memory access (read/write) All variables, but mem4k, are located in the external memory First step: Sequence S1 S4 (Fig 2b) 1 Initialisation: crc1:= (only for S1 ), max4k:=#ff and desloc:= (for S and S4, desloc:=#ff); 2 For all addresses between mem4k[] and mem4k[max4k]: Load aux4k with the contents of the memory according to the sequences S1 to S4 of the algorithm given in Fig 2b Instruction: aux4k:=mem4k[desloc]; Calculate the CRC for each value of aux4k, using the CCITT generator polynomial (x 16 + x 12 + x 5 + 1) Instruction: crc1 := CRCWORD (aux4k, crc1, #881), where #881 is the generator polynomial For the sequences S1 or S2, increment desloc; otherwise decrement desloc Test desloc in order to verify if all the memory has been visited, for a given sequence Once all the addresses have been visited, crc1 will have the memory signature

4 University of Hull, UK, p69-614, August, 1997 Second step: Sequence S1S4 (Fig 2a) 1 Initialisation: crc2:= (only for S1), max4k:=#ff and desloc:= (for S and S4, desloc:=#ff); 2 For all addresses between mem4k[] and max4k: Read/write memory words according to the algorithm sequences S1 to S4 (fig 2a) In this step the faults belonging to the fault model adopted may be detected Instructions: aux4k:=mem4k[desloc] (to read), mem4k[desloc]:=aux4k (to write), and mem4k[desloc]:= ~aux4k (to write with negation); In case of read operation: compute CRC for each value of aux4k Instruction: crc2 := CRCWORD (aux4k, crc2, #881); For the sequences S1 or S2, increment desloc; otherwise decrement desloc Test desloc in order to verify if all the memory has been visited, for a given sequence Third step: compare signatures obtained in the first and second steps and stored, respectively, in crc1 and crc2 (µs) (a) 2 (s) T MHz 64 CPU FPU Links (b) 46 T85-25 MHz (ms) RAM Fig Execution time for: (a) TestRAM process in T414 & T85; (b) the four test processes of the T85 As stated before, the transputer has a processes scheduler implemented in microcode, which shares the CPU time among the concurrent processes that are in execution at a given time This characteristic may affect the executions of the described algorithm: if the TestRAM process is pre-empted by another one during its execution, this second process using the internal memory will violate the integrity of the CRC computation that was in course by the TestRAM In order to prevent this kind of problem, TestRAM has to be executed in high priority In the transputer, high priority processes only miss the CPU to other high priority processes if certain instructions occur: communication, timers operation and branch (instruction j) During TestRAM execution, instructions of communication and timers operation are not used, but the FOR and IF occam2 constructors employ branch instructions Due to this fact, these constructors had to be written in assembly in order to eliminate the branch instructions (in this case, the unconditional jump was replaced by a conditional jump) For this reason, the TestRAM algorithm described before has been partially implemented in occam2 and partially in assembly 4 EXPERIMENTAL RESULTS The proposed algorithm has been executed in two different 2-bit processors from the transputer family: the IMS T414, installed in a B4 card with 15 MHz clock; and the IMS T85, installed in a B8 card with 25 MHz clock The processor T85 has a FPU and some instructions that do not exist in the T414 The used B4 card has just one processor while the B8 has four T85 processors working in parallel In the B8 card implementation, each T85 transputer has four instances of TestRAM procedure running concurrently with the processes from the user application From Fig a, note that the execution of TestRAM in the T85 is about 25 times faster than in T414 This difference is mainly due to the fact that T85 has a specific instruction for CRC calculation (instruction crcword) while in the T414, it is executed by a sequence of instructions The values presented in Fig were obtained from individual executions of each test procedure Related with these procedures, the main problem is to define an ideal frequency of test procedures activation, resulting in acceptable system performance degradation without compromising fault coverage requirements In order to simulate typical applications on transputer-based systems, and also to measure application execution times with and without the test procedures, a simplified version of ANDES (Kitajima, 1994) was implemented ANDES is a performance evaluation tool based on synthetic programs (in a synthetic execution computing resources are used in a controled way without implementing a real application) From the measures obtained, it is possible to determine the best activation frequency of the test procedures It is also possible to verify the impact of the inclusion of a given test procedure ANDES is based on the execution of a synthetic parallel workload whose parameters can be easily modified The simplified version of ANDES is composed of three kinds of processes: the master executes on the transputer responsible by the communication with the host; the slaves execute on the other transputers of the network; and the idle, responsible by the computation of the idle time, also executes on the network

5 University of Hull, UK, p69-614, August, 1997 transputers The master receives data from the user concerning the workload These data are sent to the slaves The master then keeps the starting time of the synthetic execution, sends a starting signal to the slaves, and waits a signal from the same slaves This signal informs that each slave has finished its synthetic task The master then keeps the time again, and the total execution time is computed Before finishing the synthetic parallel program, the slaves send to the master their respective idle Each slave is programmed as an alternation of execution and communication broadcast phases The user can determine the size of these phases (that is, the granularity of the parallel application) More or less communication can be generated At the end of the synthetic execution on each slave, it sends to the master and to the local idle process a finish flag The idle time (see Fig 4b) is then read and sent to the master Using the synthetic loops and the broadcast messages, it is possible to model a large range of typical parallel applications (s) (s) A N D E S al on e A N D E S al o ne cpu cp u, f pu cpu cp u, f pu I dl e Processes cp u, f pu, ram c cpu, f pu, r am a b I dl e ti m e f or each sl av e (o btai ned b y the i d l e pr ocesses) w hen ex ecutin g A N D E S: w i th test w i th test w i th test alone cpu cpu & cpu, fpu & f p u ram a b c Fig 4 Degradation of a system due to the insertion of test procedures In Fig 4, comparative graphs are presented for an ANDES synthetic typical application (three slaves: a, b and c; broadcast message length with 5 bytes; and synthetic loops size 2), the synthetic application running standalone (without the test procedures) has an execution time of 6197 seconds With the insertion of CPU and FPU test processes (execution frequency = 5), this time goes to 621 seconds and, with the insertion of the RAM test procedure (execution frequency = 4,), the total time is 625 seconds (see Fig 4a) Fig b shows the execution times for the T85 testing processes (CPU, FPU and Links) defined by Bezerra and Jansch-Porto (1995a) and the TestRAM process as described in the present paper It is possible to observe that the RAM memory test is approximately 55 times slower than others transputer units test (a ) ( b ) 5 EXTERNAL MEMORY TEST The proposed procedure may be also applied to the transputer external memory at the board level without any significant changes For example, to execute the test in 2 Mbytes of memory it is necessary, basically: split the memory into 41x5 Kbytes blocks; change the length of the integer array mem4k in order to allow the mapping of the 128 words (512 bytes to be tested); to execute the test procedure 41 times, placing mem4k in a different memory position after each execution (PLACE command of occam2); and to load max4k with the higher block address For the external memory, as well as for the internal one, the main drawback of the test procedure is the execution time For the external memory, the time spent to access the memory cells is much longer Thus, aiming to improve the external memory test at the board level, some procedure modules that were implemented by software procedures are now implemented directly in hardware This work is being accomplished in the PISH Project (Barros, 1994) This is a multi-institutional project involving four research centres: UFPE, UFRGS, PUCRS and UFSE The PISH goal is to develop methods for hardware/software co-design automation of systems from a functional description in a high level of abstraction In the PISH Partitioning Step, a system described in high level of abstraction is partitioned in two parts: one mapped to hardware and the other part into software The prototyping step uses the Harp-2 platform (Bailey, 1996), which is basically composed of a transputer, an FPGA (Field Programmable Gate Array) and memory The software part of the description is executed in the transputer, while the hardware part in the FPGA The internal memory test procedure is entirely implemented in software With Harp-2 the CRC operation may be implemented by a dedicated hardware (into the FPGA), keeping the rest of the procedure implemented in software to be executed by the transputer So, the idea is to take advantage of such a facility to allow hardware/software co-design of more suitable test procedures targeted to specific system configuration and reliability level constraints For example, we may: implement different generator polynomials or signature analysers; do the read/write memory access; compress read values; and generate/evaluate test signature operations may be implemented directly by the FPGA module instead of using the transputer

6 University of Hull, UK, p69-614, August, CONCLUSIONS The decision of using the Transparent BIST approach to test the transputer internal memory is due to its corroborated effectiveness in fault detection modelled by: stuck-at, coupling, transition, and address decoder and read/write logic faults (Kebichi and Nicolaidis, 1992) The proposed algorithm has been implemented and used in both transputers T414 and T85 In order to apply this algorithm to the new member of the transputer family: T9, it is necessary to change the size of the array mem4k to 16k bytes (this is the size of the T9 internal RAM), and to load the max4k variable with the new address of the last word to be tested in the memory In the same way, the proposed approach can be applied to other processor embedded memories and/or external memory systems For applications in which the periodical test of the RAM may not be based on a 458 ms procedure (see RAM at Fig b) due to system reliability and/or performance degradation, this procedure may be split into smaller ones, where only a small area of the cell array (and respective read/write logic and decoders) is tested at a time In this case, slices of the test procedure are interleaved with the application as many times as necessary But this approach will work well only with the memory cell array decomposed into predefined areas Then, each area is tested at a time: first by generating the test signature and then by applying the test procedure to this specific region of the memory Due to the fact that TestRAM may cause a great overhead and turn inefficient the on-line test procedure, a possible solution can be its execution with low periodicity (in the order of hours) For instance, in space applications, this test may be executed each 1 hours, since in general, the accumulated radiation (total dose) degrades system performance and reliability at low rates An immediate application of the test procedure herein presented can be the SACI-1, a micro-satellite for scientific applications being developed at the Brazilian Institute for Space Research - INPE (Paula, 1995) The CPU subsystem of this satellite has three transputers T85 and it is scheduled for launching during the second half of 1997 Note: The TestRAM process is available in: ftp://wwwinfpucrsbr/~eduardob/transputer/testador REFERENCES Bailey, A (1996) Programming the Harp-2 using Handel- C Oxford University Computing Laboratory, Oxford Barros, EN (1994) PISH: Projeto Integrado Software/Hardware Protem/CC - CNPq project Bezerra, EA and I Jansch-Pôrto (1995a) Procedimento de Teste para Detecção de Falhas no Transputer In: VI Fault Tolerant Computers Simppp UFRGS, Brazil Bezerra, EA and I Jansch-Pôrto (1995b) A Minimal Test Set for the Transputer Processor In: X SBMICRO pp UFRGS, Brazil Breuer, MA and AD Friedman (1976) Diagnosis & Reliable Design of Digital Systems Computer Science Press, Woodland Hills Castro, H and M Gough (1992) Mars94: A Fault-Tolerant Multi-Transputer Array for Space Applications In: Transputers 92: Advanced Research and Industrial Applications pp IOS Press, Amsterdan Castro Alves, V (1995) Functional Test of Single and Multi-Port SRAMs In: X SBMICRO pp UFRGS, Brazil INMOS (1987) The Transputer Instruction Set - A Compiler Writer s Guide INMOS Limited, Bristol INMOS (1988) The Transputer Databook INMOS Limited, Bath INMOS (1989) OCCAM 2 Reference Manual INMOS Limited, Bristol Kebichi, O and MA Nicolaidis (1992) Tool for Automatic Generation of BISTed and Transparent BISTed RAMs In: European Design Automation Conference, pp IEEE, Paris Kitajima, JP (1994) Modèles Quantitatifs d'algorithmes Parallèles INPG, Grenoble, 1994 (PhD Thesis) Marinescu, M (1982) Simple and Efficient Algorithms for Functional RAM Testing In: IEEE International Test Conference Nicolaidis, M (1992) Transparent BIST for RAMs Grenoble, TIMA/INPG (Technical Report) Paula, AR (1995) Aspectos de Tolerância a Defeitos do Sistema de Computação do Primeiro Microssatélite de Aplicações Científicas do INPE In: VI Fault Tolerant Computers Simp pp 6-75 UFRGS, Brazil Robach, C and G Saucier (198) Microprocessor Functional Testing In: IEEE Test Conference, pp 4-44 USA Thompson, HA (1991) Transputer-Based Fault Tolerance in Safety-Critical Systems In: Microprocessors and Microsystems, Vol 15, N 5, pp Vargas, F and M Nicolaidis (1994) SEU-Tolerant SRAM Design Based on Current Monitoring In: 24th FTCS - International Symposium on Fault-Tolerant Computing Austin, USA

Chapter 1 Computer System Overview

Chapter 1 Computer System Overview Operating Systems: Internals and Design Principles Chapter 1 Computer System Overview Eighth Edition By William Stallings Operating System Exploits the hardware resources of one or more processors Provides

More information

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Kenneth B. Kent University of New Brunswick Faculty of Computer Science Fredericton, New Brunswick, Canada ken@unb.ca Micaela Serra

More information

Hardware/Software Co-Design of a Java Virtual Machine

Hardware/Software Co-Design of a Java Virtual Machine Hardware/Software Co-Design of a Java Virtual Machine Kenneth B. Kent University of Victoria Dept. of Computer Science Victoria, British Columbia, Canada ken@csc.uvic.ca Micaela Serra University of Victoria

More information

FAULT TOLERANCE FOR MULTIPROCESSOR SYSTEMS VIA TIME REDUNDANT TASK SCHEDULING

FAULT TOLERANCE FOR MULTIPROCESSOR SYSTEMS VIA TIME REDUNDANT TASK SCHEDULING FAULT TOLERANCE FOR MULTIPROCESSOR SYSTEMS VIA TIME REDUNDANT TASK SCHEDULING Hussain Al-Asaad and Alireza Sarvi Department of Electrical & Computer Engineering University of California Davis, CA, U.S.A.

More information

VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU

VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU Martin Straka Doctoral Degree Programme (1), FIT BUT E-mail: strakam@fit.vutbr.cz Supervised by: Zdeněk Kotásek E-mail: kotasek@fit.vutbr.cz

More information

İSTANBUL AYDIN UNIVERSITY

İSTANBUL AYDIN UNIVERSITY İSTANBUL AYDIN UNIVERSITY FACULTY OF ENGİNEERİNG SOFTWARE ENGINEERING THE PROJECT OF THE INSTRUCTION SET COMPUTER ORGANIZATION GÖZDE ARAS B1205.090015 Instructor: Prof. Dr. HASAN HÜSEYİN BALIK DECEMBER

More information

Spacecraft Computer Systems. Colonel John E. Keesee

Spacecraft Computer Systems. Colonel John E. Keesee Spacecraft Computer Systems Colonel John E. Keesee Overview Spacecraft data processing requires microcomputers and interfaces that are functionally similar to desktop systems However, space systems require:

More information

Advanced Computer Architecture-CS501. Computer Systems Design and Architecture 2.1, 2.2, 3.2

Advanced Computer Architecture-CS501. Computer Systems Design and Architecture 2.1, 2.2, 3.2 Lecture Handout Computer Architecture Lecture No. 2 Reading Material Vincent P. Heuring&Harry F. Jordan Chapter 2,Chapter3 Computer Systems Design and Architecture 2.1, 2.2, 3.2 Summary 1) A taxonomy of

More information

Programming Logic controllers

Programming Logic controllers Programming Logic controllers Programmable Logic Controller (PLC) is a microprocessor based system that uses programmable memory to store instructions and implement functions such as logic, sequencing,

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

IVICS - An Intravenous Infusion Controller System

IVICS - An Intravenous Infusion Controller System IVICS - An Intraveus Infusion Controller System Cristia C. de Araújo, Marcus V. D. dos Santos 1 and Edna Barros Depto. de Informática - UFPE 2 Caixa Postal 7851 - Cidade Universitária CEP. 50740-940 Recife

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

150127-Microprocessor & Assembly Language

150127-Microprocessor & Assembly Language Chapter 3 Z80 Microprocessor Architecture The Z 80 is one of the most talented 8 bit microprocessors, and many microprocessor-based systems are designed around the Z80. The Z80 microprocessor needs an

More information

Chapter 13. PIC Family Microcontroller

Chapter 13. PIC Family Microcontroller Chapter 13 PIC Family Microcontroller Lesson 01 PIC Characteristics and Examples PIC microcontroller characteristics Power-on reset Brown out reset Simplified instruction set High speed execution Up to

More information

Memory Systems. Static Random Access Memory (SRAM) Cell

Memory Systems. Static Random Access Memory (SRAM) Cell Memory Systems This chapter begins the discussion of memory systems from the implementation of a single bit. The architecture of memory chips is then constructed using arrays of bit implementations coupled

More information

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1 MICROPROCESSOR A microprocessor incorporates the functions of a computer s central processing unit (CPU) on a single Integrated (IC), or at most a few integrated circuit. It is a multipurpose, programmable

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

2.0 Command and Data Handling Subsystem

2.0 Command and Data Handling Subsystem 2.0 Command and Data Handling Subsystem The Command and Data Handling Subsystem is the brain of the whole autonomous CubeSat. The C&DH system consists of an Onboard Computer, OBC, which controls the operation

More information

MICROPROCESSOR AND MICROCOMPUTER BASICS

MICROPROCESSOR AND MICROCOMPUTER BASICS Introduction MICROPROCESSOR AND MICROCOMPUTER BASICS At present there are many types and sizes of computers available. These computers are designed and constructed based on digital and Integrated Circuit

More information

CHAPTER 7: The CPU and Memory

CHAPTER 7: The CPU and Memory CHAPTER 7: The CPU and Memory The Architecture of Computer Hardware, Systems Software & Networking: An Information Technology Approach 4th Edition, Irv Englander John Wiley and Sons 2010 PowerPoint slides

More information

An Implementation Of Multiprocessor Linux

An Implementation Of Multiprocessor Linux An Implementation Of Multiprocessor Linux This document describes the implementation of a simple SMP Linux kernel extension and how to use this to develop SMP Linux kernels for architectures other than

More information

DS1104 R&D Controller Board

DS1104 R&D Controller Board DS1104 R&D Controller Board Cost-effective system for controller development Highlights Single-board system with real-time hardware and comprehensive I/O Cost-effective PCI hardware for use in PCs Application

More information

COMPUTER SCIENCE AND ENGINEERING - Microprocessor Systems - Mitchell Aaron Thornton

COMPUTER SCIENCE AND ENGINEERING - Microprocessor Systems - Mitchell Aaron Thornton MICROPROCESSOR SYSTEMS Mitchell Aaron Thornton, Department of Electrical and Computer Engineering, Mississippi State University, PO Box 9571, Mississippi State, MS, 39762-9571, United States. Keywords:

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Microtronics technologies Mobile: 99707 90092

Microtronics technologies Mobile: 99707 90092 For more Project details visit: http://www.projectsof8051.com/rfid-based-attendance-management-system/ Code Project Title 1500 RFid Based Attendance System Synopsis for RFid Based Attendance System 1.

More information

Chapter 2 Basic Structure of Computers. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 2 Basic Structure of Computers. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 2 Basic Structure of Computers Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Functional Units Basic Operational Concepts Bus Structures Software

More information

FPGA area allocation for parallel C applications

FPGA area allocation for parallel C applications 1 FPGA area allocation for parallel C applications Vlad-Mihai Sima, Elena Moscu Panainte, Koen Bertels Computer Engineering Faculty of Electrical Engineering, Mathematics and Computer Science Delft University

More information

Attaining EDF Task Scheduling with O(1) Time Complexity

Attaining EDF Task Scheduling with O(1) Time Complexity Attaining EDF Task Scheduling with O(1) Time Complexity Verber Domen University of Maribor, Faculty of Electrical Engineering and Computer Sciences, Maribor, Slovenia (e-mail: domen.verber@uni-mb.si) Abstract:

More information

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications 1 A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications Simon McIntosh-Smith Director of Architecture 2 Multi-Threaded Array Processing Architecture

More information

Operating Systems 4 th Class

Operating Systems 4 th Class Operating Systems 4 th Class Lecture 1 Operating Systems Operating systems are essential part of any computer system. Therefore, a course in operating systems is an essential part of any computer science

More information

MF1 IC S50. 1. General description. Functional specification. 1.1 Contactless Energy and Data Transfer. 1.2 Anticollision. Energy

MF1 IC S50. 1. General description. Functional specification. 1.1 Contactless Energy and Data Transfer. 1.2 Anticollision. Energy Rev. 5.2 15 January 2007 Product data sheet 001052 PUBLIC 1. General description NXP has developed the Mifare to be used in contactess smart cards according to ISO/IEC 14443A. The communication layer (

More information

Control 2004, University of Bath, UK, September 2004

Control 2004, University of Bath, UK, September 2004 Control, University of Bath, UK, September ID- IMPACT OF DEPENDENCY AND LOAD BALANCING IN MULTITHREADING REAL-TIME CONTROL ALGORITHMS M A Hossain and M O Tokhi Department of Computing, The University of

More information

Price/performance Modern Memory Hierarchy

Price/performance Modern Memory Hierarchy Lecture 21: Storage Administration Take QUIZ 15 over P&H 6.1-4, 6.8-9 before 11:59pm today Project: Cache Simulator, Due April 29, 2010 NEW OFFICE HOUR TIME: Tuesday 1-2, McKinley Last Time Exam discussion

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 5 Memory-I Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would Pre-Requisite

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Instruction Set Architecture (ISA)

Instruction Set Architecture (ISA) Instruction Set Architecture (ISA) * Instruction set architecture of a machine fills the semantic gap between the user and the machine. * ISA serves as the starting point for the design of a new machine

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

LADDER LOGIC/ FLOWCHART PROGRAMMING DIFFERENCES AND EXAMPLES

LADDER LOGIC/ FLOWCHART PROGRAMMING DIFFERENCES AND EXAMPLES page 1/10 This document is designed as a quick-start primer to assist industrial automation programmers who are familiar with PLCs and Relay Ladder Logic programming to better understand the corresponding

More information

With respect to the way of data access we can classify memories as:

With respect to the way of data access we can classify memories as: Memory Classification With respect to the way of data access we can classify memories as: - random access memories (RAM), - sequentially accessible memory (SAM), - direct access memory (DAM), - contents

More information

SoC Curricula at Tallinn Technical University

SoC Curricula at Tallinn Technical University SoC Curricula at Tallinn Technical University Margus Kruus, Kalle Tammemäe, Peeter Ellervee Tallinn Technical University Phone: +372-6202250, Fax: +372-6202246 kruus@cc.ttu.ee nalle@cc.ttu.ee lrv@cc.ttu.ee

More information

8051 hardware summary

8051 hardware summary 8051 hardware summary 8051 block diagram 8051 pinouts + 5V ports port 0 port 1 port 2 port 3 : dual-purpose (general-purpose, external memory address and data) : dedicated (interfacing to external devices)

More information

An Effective Deterministic BIST Scheme for Shifter/Accumulator Pairs in Datapaths

An Effective Deterministic BIST Scheme for Shifter/Accumulator Pairs in Datapaths An Effective Deterministic BIST Scheme for Shifter/Accumulator Pairs in Datapaths N. KRANITIS M. PSARAKIS D. GIZOPOULOS 2 A. PASCHALIS 3 Y. ZORIAN 4 Institute of Informatics & Telecommunications, NCSR

More information

MACHINE ARCHITECTURE & LANGUAGE

MACHINE ARCHITECTURE & LANGUAGE in the name of God the compassionate, the merciful notes on MACHINE ARCHITECTURE & LANGUAGE compiled by Jumong Chap. 9 Microprocessor Fundamentals A system designer should consider a microprocessor-based

More information

CPUs - CPU 315-2 PN/DP

CPUs - CPU 315-2 PN/DP Overview The CPU with a medium program memory and quantity framework High processing performance in binary and floating-point arithmetic Used as a central controller on production lines with central and

More information

Dr. Alexander Walsch alexander.walsch@ge.com IN 2244 Part V WS 2013/14 Technische Universität München

Dr. Alexander Walsch alexander.walsch@ge.com IN 2244 Part V WS 2013/14 Technische Universität München Industrial Embedded Systems - Design for Harsh Environment - Dr. Alexander Walsch alexander.walsch@ge.com IN 2244 Part V WS 2013/14 Technische Universität München Architecture Patterns Recurring Hardware

More information

ASSEMBLY PROGRAMMING ON A VIRTUAL COMPUTER

ASSEMBLY PROGRAMMING ON A VIRTUAL COMPUTER ASSEMBLY PROGRAMMING ON A VIRTUAL COMPUTER Pierre A. von Kaenel Mathematics and Computer Science Department Skidmore College Saratoga Springs, NY 12866 (518) 580-5292 pvonk@skidmore.edu ABSTRACT This paper

More information

find model parameters, to validate models, and to develop inputs for models. c 1994 Raj Jain 7.1

find model parameters, to validate models, and to develop inputs for models. c 1994 Raj Jain 7.1 Monitors Monitor: A tool used to observe the activities on a system. Usage: A system programmer may use a monitor to improve software performance. Find frequently used segments of the software. A systems

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Central Processing Unit

Central Processing Unit Chapter 4 Central Processing Unit 1. CPU organization and operation flowchart 1.1. General concepts The primary function of the Central Processing Unit is to execute sequences of instructions representing

More information

Training Document for Comprehensive Automation Solutions Totally Integrated Automation (T I A) MODULE A5 Programming the CPU 314C-2DP

Training Document for Comprehensive Automation Solutions Totally Integrated Automation (T I A) MODULE A5 Programming the CPU 314C-2DP Training Document for Comprehensive Automation Solutions Totally Integrated Automation (T I A) MODULE T I A Training Document Page 1 of 25 Module This document has been written by Siemens AG for training

More information

INTEGRATED CIRCUITS I CODE SLI. Smart Label IC SL2 ICS20. Functional Specification. Product Specification Revision 3.1 Public. Philips Semiconductors

INTEGRATED CIRCUITS I CODE SLI. Smart Label IC SL2 ICS20. Functional Specification. Product Specification Revision 3.1 Public. Philips Semiconductors INTEGRATED CIRCUITS I CODE SLI Smart Label IC SL2 ICS20 Product Specification Revision 3.1 February July 2005 2001 Public Philips Semiconductors CONTENTS 1 FEATURES...4 1.1 I CODE SLI RF Interface (ISO/IEC

More information

Multi-objective Design Space Exploration based on UML

Multi-objective Design Space Exploration based on UML Multi-objective Design Space Exploration based on UML Marcio F. da S. Oliveira, Eduardo W. Brião, Francisco A. Nascimento, Instituto de Informática, Universidade Federal do Rio Grande do Sul (UFRGS), Brazil

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Develop a Dallas 1-Wire Master Using the Z8F1680 Series of MCUs

Develop a Dallas 1-Wire Master Using the Z8F1680 Series of MCUs Develop a Dallas 1-Wire Master Using the Z8F1680 Series of MCUs AN033101-0412 Abstract This describes how to interface the Dallas 1-Wire bus with Zilog s Z8F1680 Series of MCUs as master devices. The Z8F0880,

More information

Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines

Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines Mircea Popa Abstract: The paper approaches the problem of control and selecting possibilities offered by the PC parallel

More information

AN10850. LPC1700 timer triggered memory to GPIO data transfer. Document information. LPC1700, GPIO, DMA, Timer0, Sleep Mode

AN10850. LPC1700 timer triggered memory to GPIO data transfer. Document information. LPC1700, GPIO, DMA, Timer0, Sleep Mode LPC1700 timer triggered memory to GPIO data transfer Rev. 01 16 July 2009 Application note Document information Info Keywords Abstract Content LPC1700, GPIO, DMA, Timer0, Sleep Mode This application note

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Chapter 2 Features of Embedded System

Chapter 2 Features of Embedded System Chapter 2 Features of Embedded System Abstract This chapter will introduce the basic elements of embedded systems (or dedicated systems). The integrated control systems represent one of the areas of modern

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

PART B QUESTIONS AND ANSWERS UNIT I

PART B QUESTIONS AND ANSWERS UNIT I PART B QUESTIONS AND ANSWERS UNIT I 1. Explain the architecture of 8085 microprocessor? Logic pin out of 8085 microprocessor Address bus: unidirectional bus, used as high order bus Data bus: bi-directional

More information

AN1229. Class B Safety Software Library for PIC MCUs and dspic DSCs OVERVIEW OF THE IEC 60730 STANDARD INTRODUCTION

AN1229. Class B Safety Software Library for PIC MCUs and dspic DSCs OVERVIEW OF THE IEC 60730 STANDARD INTRODUCTION Class B Safety Software Library for PIC MCUs and dspic DSCs AN1229 Authors: Veena Kudva & Adrian Aur Microchip Technology Inc. OVERVIEW OF THE IEC 60730 STANDARD INTRODUCTION This application note describes

More information

Logical Operations. Control Unit. Contents. Arithmetic Operations. Objectives. The Central Processing Unit: Arithmetic / Logic Unit.

Logical Operations. Control Unit. Contents. Arithmetic Operations. Objectives. The Central Processing Unit: Arithmetic / Logic Unit. Objectives The Central Processing Unit: What Goes on Inside the Computer Chapter 4 Identify the components of the central processing unit and how they work together and interact with memory Describe how

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

TIMING DIAGRAM O 8085

TIMING DIAGRAM O 8085 5 TIMING DIAGRAM O 8085 5.1 INTRODUCTION Timing diagram is the display of initiation of read/write and transfer of data operations under the control of 3-status signals IO / M, S 1, and S 0. As the heartbeat

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

CHAPTER 4 MARIE: An Introduction to a Simple Computer

CHAPTER 4 MARIE: An Introduction to a Simple Computer CHAPTER 4 MARIE: An Introduction to a Simple Computer 4.1 Introduction 195 4.2 CPU Basics and Organization 195 4.2.1 The Registers 196 4.2.2 The ALU 197 4.2.3 The Control Unit 197 4.3 The Bus 197 4.4 Clocks

More information

Designing VM2 Application Boards

Designing VM2 Application Boards Designing VM2 Application Boards This document lists some things to consider when designing a custom application board for the VM2 embedded controller. It is intended to complement the VM2 Datasheet. A

More information

Dynamic resource management for energy saving in the cloud computing environment

Dynamic resource management for energy saving in the cloud computing environment Dynamic resource management for energy saving in the cloud computing environment Liang-Teh Lee, Kang-Yuan Liu, and Hui-Yang Huang Department of Computer Science and Engineering, Tatung University, Taiwan

More information

Connecting UniOP to Telemecanique PLC s

Connecting UniOP to Telemecanique PLC s Connecting UniOP to Telemecanique PLC s Contents 1. Introduction... 2 2. Uni-Telway Driver... 2 2.1 Uni-Telway Addresses... 2 2.2 Designer Controller Setup... 4 2.3 Point To Point Connection Addressing...

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

PACKAGE OUTLINE DALLAS DS2434 DS2434 GND. PR 35 PACKAGE See Mech. Drawings Section

PACKAGE OUTLINE DALLAS DS2434 DS2434 GND. PR 35 PACKAGE See Mech. Drawings Section PRELIMINARY DS2434 Battery Identification Chip FEATURES Provides unique ID number to battery packs PACKAGE OUTLINE Eliminates thermistors by sensing battery temperature on chip DALLAS DS2434 1 2 3 256

More information

X 4 CONFIDENTIAL X 4 OTHER PROGRAMME: CUSTOMER: CONTRACT NO.: WPD NO.: DRD NO.: CONTRACTUAL DOC.:

X 4 CONFIDENTIAL X 4 OTHER PROGRAMME: CUSTOMER: CONTRACT NO.: WPD NO.: DRD NO.: CONTRACTUAL DOC.: Date: Aug. 2002 Page: ii Contraves Space AG Schaffhauserstr. 580 CH-8052 Zurich Switzerland CLASS 1 UNRESTRICTED 1 2 INDUSTRY 2 3 RESTRICTED 3 CATEGORY CONFIGURED, FOR APPROVAL NOT CONFIGURED, FOR APPROVAL

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL B. Dilip, Y. Alekhya, P. Divya Bharathi Abstract Traffic lights are the signaling devices used to manage traffic on multi-way

More information

Linux Process Scheduling Policy

Linux Process Scheduling Policy Lecture Overview Introduction to Linux process scheduling Policy versus algorithm Linux overall process scheduling objectives Timesharing Dynamic priority Favor I/O-bound process Linux scheduling algorithm

More information

8-Bit Flash Microcontroller for Smart Cards. AT89SCXXXXA Summary. Features. Description. Complete datasheet available under NDA

8-Bit Flash Microcontroller for Smart Cards. AT89SCXXXXA Summary. Features. Description. Complete datasheet available under NDA Features Compatible with MCS-51 products On-chip Flash Program Memory Endurance: 1,000 Write/Erase Cycles On-chip EEPROM Data Memory Endurance: 100,000 Write/Erase Cycles 512 x 8-bit RAM ISO 7816 I/O Port

More information

Levels of Programming Languages. Gerald Penn CSC 324

Levels of Programming Languages. Gerald Penn CSC 324 Levels of Programming Languages Gerald Penn CSC 324 Levels of Programming Language Microcode Machine code Assembly Language Low-level Programming Language High-level Programming Language Levels of Programming

More information

Computer Systems Structure Main Memory Organization

Computer Systems Structure Main Memory Organization Computer Systems Structure Main Memory Organization Peripherals Computer Central Processing Unit Main Memory Computer Systems Interconnection Communication lines Input Output Ward 1 Ward 2 Storage/Memory

More information

Reliable Systolic Computing through Redundancy

Reliable Systolic Computing through Redundancy Reliable Systolic Computing through Redundancy Kunio Okuda 1, Siang Wun Song 1, and Marcos Tatsuo Yamamoto 1 Universidade de São Paulo, Brazil, {kunio,song,mty}@ime.usp.br, http://www.ime.usp.br/ song/

More information

Non-Data Aided Carrier Offset Compensation for SDR Implementation

Non-Data Aided Carrier Offset Compensation for SDR Implementation Non-Data Aided Carrier Offset Compensation for SDR Implementation Anders Riis Jensen 1, Niels Terp Kjeldgaard Jørgensen 1 Kim Laugesen 1, Yannick Le Moullec 1,2 1 Department of Electronic Systems, 2 Center

More information

Preserving Message Integrity in Dynamic Process Migration

Preserving Message Integrity in Dynamic Process Migration Preserving Message Integrity in Dynamic Process Migration E. Heymann, F. Tinetti, E. Luque Universidad Autónoma de Barcelona Departamento de Informática 8193 - Bellaterra, Barcelona, Spain e-mail: e.heymann@cc.uab.es

More information

The Boundary Scan Test (BST) technology

The Boundary Scan Test (BST) technology The Boundary Scan Test () technology J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 35 225 8 748 / Fax: 35 225 8 443 (jmf@fe.up.pt / http://www.fe.up.pt/~jmf) Objectives

More information

Memory Testing. Memory testing.1

Memory Testing. Memory testing.1 Memory Testing Introduction Memory Architecture & Fault Models Test Algorithms DC / AC / Dynamic Tests Built-in Self Testing Schemes Built-in Self Repair Schemes Memory testing.1 Memory Market Share in

More information

A Lab Course on Computer Architecture

A Lab Course on Computer Architecture A Lab Course on Computer Architecture Pedro López José Duato Depto. de Informática de Sistemas y Computadores Facultad de Informática Universidad Politécnica de Valencia Camino de Vera s/n, 46071 - Valencia,

More information

Chapter 3: Operating-System Structures. System Components Operating System Services System Calls System Programs System Structure Virtual Machines

Chapter 3: Operating-System Structures. System Components Operating System Services System Calls System Programs System Structure Virtual Machines Chapter 3: Operating-System Structures System Components Operating System Services System Calls System Programs System Structure Virtual Machines Operating System Concepts 3.1 Common System Components

More information

Value Paper Author: Edgar C. Ramirez. Diverse redundancy used in SIS technology to achieve higher safety integrity

Value Paper Author: Edgar C. Ramirez. Diverse redundancy used in SIS technology to achieve higher safety integrity Value Paper Author: Edgar C. Ramirez Diverse redundancy used in SIS technology to achieve higher safety integrity Diverse redundancy used in SIS technology to achieve higher safety integrity Abstract SIS

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

Traditional IBM Mainframe Operating Principles

Traditional IBM Mainframe Operating Principles C H A P T E R 1 7 Traditional IBM Mainframe Operating Principles WHEN YOU FINISH READING THIS CHAPTER YOU SHOULD BE ABLE TO: Distinguish between an absolute address and a relative address. Briefly explain

More information

Software based Finite State Machine (FSM) with general purpose processors

Software based Finite State Machine (FSM) with general purpose processors Software based Finite State Machine (FSM) with general purpose processors White paper Joseph Yiu January 2013 Overview Finite state machines (FSM) are commonly used in electronic designs. FSM can be used

More information

Accurate Measurement of the Mains Electricity Frequency

Accurate Measurement of the Mains Electricity Frequency Accurate Measurement of the Mains Electricity Frequency Dogan Ibrahim Near East University, Faculty of Engineering, Lefkosa, TRNC dogan@neu.edu.tr Abstract The frequency of the mains electricity supply

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Implementing an In-Service, Non- Intrusive Measurement Device in Telecommunication Networks Using the TMS320C31

Implementing an In-Service, Non- Intrusive Measurement Device in Telecommunication Networks Using the TMS320C31 Disclaimer: This document was part of the First European DSP Education and Research Conference. It may have been written by someone whose native language is not English. TI assumes no liability for the

More information

Computer Architecture

Computer Architecture Computer Architecture Random Access Memory Technologies 2015. április 2. Budapest Gábor Horváth associate professor BUTE Dept. Of Networked Systems and Services ghorvath@hit.bme.hu 2 Storing data Possible

More information

Self-Evaluation Configuration for Remote Data Logging Systems

Self-Evaluation Configuration for Remote Data Logging Systems IEEE International Workshop on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications 6-8 September 2007, Dortmund, Germany Self-Evaluation Configuration for Remote Data

More information