Molybdenum Etchants Study Surajit Sutar University of Notre Dame

Size: px
Start display at page:

Download "Molybdenum Etchants Study Surajit Sutar University of Notre Dame"

Transcription

1 The purpose of this Molybdenum etching study is to find a Molybdenum metallization process without involving a Lift-Off. Molybdenum, a refractory metal, when evaporated by an E-beam, causes a significant rise in the temperature of the evaporation chamber. This could present problems during the subsequent Lift-Off due to the possible baking of the photoresist during evaporation. An alternative process would be to deposit Molybdenum all over the surface of the wafer, pattern the Molybdenum surface in a photolithography process, and then to etch off Molybdenum where not needed. This process requires suitable and preferably selective etchants for Molybdenum. Preparation of the samples: Molybdenum was deposited on Si and InAs (PEDI-90, top InAsP removed) wafers. The wafers were patterned using AZ5214E photoresist. Samples like these were then treated with the etchant and were step-profiled to get the etch depth after removing the photoresist. The metal deposited varied in thickness. Could be taken between 700 and 1000 A 0. A brown Molybdenum oxide layer is usually formed in exposure to air. That could have affected the Molybdenum thickness and subsequent etching too. Etchants studied: 1. x HF: x H 2 O a. 1 HF: 1 H 2 O b. 1 HF: 5 H 2 O No etching was observed in 1 minute 2. x HF: x H 2 O 2 : x H 2 O No etching was observed in 5 minutes. All the compositions give a very rough surface. The etching is not uniform. The places most etched are dark (probably oxide), and at other places Molybdenum becomes fragmented. The average surface roughness is very high. The samples were soaked in HCl (both concentrated and diluted) to remove the brown oxide film. But 3 hours of soaking yielded nothing. The etchant seemed to attack both InAs and Si.

2 a. 1 HF: 2 H 2 O 2 b. 1 HF: 2 H 2 O 2 : 5 H 2 O µm µm c. 1 HF: 2 H 2 O 2 : 10 H 2 O nm nm d. 1 HF: 1 H 2 O 2 e. 1 HF: 1 H 2 O 2 : 1 H 2 O f. 1 HF: 1 H 2 O 2 : 5 H 2 O nm nm Surface Roughness Å 40 Å Å 30 Å Å 400 Å Å 200 Å Time (minutes) Surface Roughness nm 3.1 nm nm 61.4 nm nm 3192 nm

3 g. 1 HF: 1 H 2 O 2 : 10 H 2 O Time (minutes) h. 1 HF: 1 H 2 O 2 : 25 H 2 O µm Time (minutes) i. 1 HF: 1 H 2 O 2 : 50 H 2 O nm Time (minutes) j. 1 HF: 1 H 2 O 2 : 200 H 2 O 20 0 nm Time (minutes) Surface Roughness 90 (on Si) nm 79.2 nm 90 (on InAs) 5.25 µm IT DOES ATTACK InAs!!

4 2. 15 NH 4 OH: 10 H 2 O 2 : 100 H 2 O 100 Etching Rate for Molybdenum on Si (nm) NH 4 OH : 10 H 2 O 2 : 100 H 2 O Molybdenum thickness nm The Molybdenum thickness could have been nm. After 3 minutes, there were places where the photoresist (5214E) seemed to have been worn off at the edges of features. It means either the etchant attacks the PR or the etching is isotropic. The etching reaction is marked with brown film being formed at the places etched. 1 HNO 3 : 1 H 2 SO 4 : 3 H 2 O Doesn t seem to attack Silicon. But attacks InAs rapidly (from both the top and bottom of the sample). Takes off around 80 nm of Molybdenum in 10 seconds. 1 HNO 3 : 10 H 2 O No etching was observed after 20 minutes of treatment. 1 HNO 3 : 1 HCl: 1 H 2 O Attacks InAs rapidly after etching Molybdenum.

5 Straight H 2 O 2 (nm) Molybdenum Etch with H 2 O 2 Si Substrate Molybdenum 50 y = x R= ss1013etch2 The etching was done with Photoresist (5214E) as an etch mask, and it was observed that for longer etch times (greater than 3 minutes), the molybdenum under the photoresist was etched at the edges. It suggests adhesion problems between Molybdenum and the photoresist or H 2 O 2 attacking the photoresist. Also, the etch rate depends upon the strength of the H 2 O 2 (the actual percentage of H 2 O 2 in the solution).

6 Reactive Ion Etching (RIE) with CF 4 and O 2 (nm) CF 4 /O 2 RIE Etch Molybdenum y = x R= y = x R= y = x R= RIE Etch 26 sccm CF, 5 sccm O, mt, 100 W, ~210 V DC bias Si substrate Molybdenum PECVD grown SiO Etch 2ss1013etch1 The etch rate as found from the above figure is approximately Å/s. The etchant doesn t attack InAs, but with the DC bias ~ 200 V, it is expected to damage the surface and introduce defects. Conclusions: 1. The mineral acid etchants (HNO 3, H 2 SO 4 etc) are found to have high etch rates, but they attack InAs and possibly, other III-IV compounds. 2. The NH 4 OH etchant and the H 2 O 2 etchant can be used with a photoresist (5214E) etch mask for shorter etch times (< 3 minutes). For longer etches, SiO 2 can be used as an etch mask. Note: NH 4 OH could attack InAs if the ph of the solution is low. 3. The RIE with CF 4 and O 2 etches Si and SiO 2. It could introduce defects in InAs. 4. After etching, usually a thin brown oxide film is formed on the surface. This could be taken off by treatment with diluted HCl.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication Ferroelectrics, 380:1, 97-101, 2009 Reprints available directly from the publisher DOI: 10.1080/00150190902873295 UR L: http://dx.doi.org/10.1080/00150190902873295 2009 Taylor & Francis ISSN: 0015-0193

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-527: MicroFabrication Wet Etching Outline Isotropic Si etching Anisotropic Si etching Anisotropic GaAs etching Isotropic etching of SiO 2, Al, and Cr General features of wet chemical etching Selective

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Safety, Cleaning, and Chemical Disposal Procedures

Safety, Cleaning, and Chemical Disposal Procedures Safety, Cleaning, and Chemical Disposal Procedures 1. Using Acids At many points in the fabrication process strong acids are used as etchants. These cause severe burns if kept in contact with your skin

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Micro-Power Generation

Micro-Power Generation Micro-Power Generation Elizabeth K. Reilly February 21, 2007 TAC-meeting 1 Energy Scavenging for Wireless Sensors Enabling Wireless Sensor Networks: Ambient energy source Piezoelectric transducer technology

More information

Resists, Developers and Removers

Resists, Developers and Removers Resists, Developers and Removers Revised: 20131107 Source: www.microchemicals.com/downloads/application_notes.html Positive, Negative, and Image Reversal Resists Positive resists Positive... Negative...

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Chapter 6 Metal Films and Filters

Chapter 6 Metal Films and Filters Chapter 6 Metal Films and Filters 6.1 Mirrors The first films produced by vacuum deposition as we know it were aluminum films for mirrors made by John Strong in the 1930s; he coated mirrors for astronomical

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography Optical lithography Robin Nagel TUM 12. Januar 2009 Robin Nagel (TUM) Optical lithography 12. Januar 2009 1 / 22 1 What is optical lithography? 1 The optical system 1 Production process 1 Future and limits

More information

Photolithography (source: Wikipedia)

Photolithography (source: Wikipedia) Photolithography (source: Wikipedia) For earlier uses of photolithography in printing, see Lithography. For the same process applied to metal, see Photochemical machining. Photolithography (also called

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors Integrated Ferroelectrics, 53: 503 511, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390258651 Observation of Long Transients in the Electrical Characterization

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process

Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process Revised: March 2009 Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process 1. Introduction The CYCLOTENE 4000 Series advanced electronic resins are I-line-, G-line-,

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer Sören Irmer Workshop "Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen - Mühlleithen, 02. März 2004 Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1. 6.15JST05.Lecture1-1 1 Etching and Pattern Transer (1) OUTLINE Basic Concepts o Etching Wet Etching Speciic Wet Etches Silicon Silicon Dioxide Aluminum Dry (Plasma) Etch eview o Plasmas eading Assignment:

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

LOR and PMGI Resists. Figure 1: SFG2 w/tok Resist 80 nm line. Figure 2: PMGI w/spr 660 0.6 3 um line. Figure 3: LOR 30B w/spr 220 4.

LOR and PMGI Resists. Figure 1: SFG2 w/tok Resist 80 nm line. Figure 2: PMGI w/spr 660 0.6 3 um line. Figure 3: LOR 30B w/spr 220 4. LOR and PMGI Resists DESCRIPTION LOR and PMGI resists are based on polydimethylglutarimide. Its unique properties enable LOR and PMGI products to perform exceptionally well when used, either as a sacrificial

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Lithography Part I September, 5 th 2013

Lithography Part I September, 5 th 2013 7. Auswärtsseminar der Arbeitsgruppe Optische Technologien Leupold-Institut für Angewandte Naturwissenschaften (LIAN) der Westsächsischen Hochschule Zwickau Lithography Part I September, 5 th 2013 Heiko

More information

Bachelor Project. Nano-stenciling for fabrication of metal nanoparticles. Nano-stenciling for fabrication of metal nanoparticles

Bachelor Project. Nano-stenciling for fabrication of metal nanoparticles. Nano-stenciling for fabrication of metal nanoparticles Bachelor Project Nano-stenciling for fabrication of metal nanoparticles Nano-stenciling for fabrication of metal nanoparticles External sensor: Supervisors: Maria Dimaki Jakob Kjelstrup-Hansen, Ole Albrektsen

More information

Chapter 6. Solution, Acids and Bases

Chapter 6. Solution, Acids and Bases Chapter 6 Solution, Acids and Bases Mixtures Two or more substances Heterogeneous- different from place to place Types of heterogeneous mixtures Suspensions- Large particles that eventually settle out

More information

2015-2016 Facility Rates & Expense Caps

2015-2016 Facility Rates & Expense Caps NANOFAB FEES / SERVICES Entry Fee $20.00/Day $32.10/Day Nanofab Training Fee $25.00/Hour $40.13/Hour Nanofab Process Development/Labor $50.00/Hour $80.25/Hour Model Shop $25.00/Month $40.13/Month Wafer

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

Design of inductors and modeling of relevant field intensity

Design of inductors and modeling of relevant field intensity 3. Growth of shaped Si single crystals (FZ) Design of inductors and modeling of relevant field intensity Main cut Schematic of inductor for large square FZ crystals z-component of the field intensity for

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Design and Simulation of MEMS Vibration Sensor for Launch Vehicles

Design and Simulation of MEMS Vibration Sensor for Launch Vehicles International Review of Applied Engineering Research. ISSN 2248-9967 Volume 4, Number 5 (2014), pp. 391-396 Research India Publications http://www.ripublication.com/iraer.htm Design and Simulation of MEMS

More information

... complement Information for Processing

... complement Information for Processing AZ nlof 2xx Negative Resist... complement Information for Processing revised 25--7 General Information AZ nlof 2xx is a family of negative s, with the exposed remaining on the substrate after development.

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

FLEXIBLE CIRCUITS MANUFACTURING

FLEXIBLE CIRCUITS MANUFACTURING IPC-DVD-37 FLEXIBLE CIRCUITS MANUFACTURING Below is a copy of the narration for DVD-37. The contents of this script were developed by a review group of industry experts and were based on the best available

More information

Basic Properties and Application of Auto Enamels

Basic Properties and Application of Auto Enamels Basic Properties and Application of Auto Enamels Composition of Ceramic Automotive Glass Enamels Ceramic automotive glass colours are glass enamels that fire on to the glass during the bending process

More information

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS 5.1 INTRODUCTION The manufacturing plant considered for analysis, manufactures Printed Circuit Boards (PCB), also called Printed Wiring Boards (PWB), using

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

Silica Over-Saturation, Precipitation, Prevention and Remediation In Hot Water Systems Edited By Dave Peairs, Cal Water, Technical Director

Silica Over-Saturation, Precipitation, Prevention and Remediation In Hot Water Systems Edited By Dave Peairs, Cal Water, Technical Director Silica Over-Saturation, Precipitation, Prevention and Remediation In Hot Water Systems Edited By Dave Peairs, Cal Water, Technical Director Background Silica scaling becomes a problem when any hot water

More information

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER.

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. SiO2 ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. 1 A.J. BALLONI Fundação Centro Tecnológico para Informática Instituto de Microeletrônica Laboratório de Manufatura de Circuitos

More information

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Peter Cheang, Lorna Christensen, Corinne Reynaga Ultratech Stepper, Inc. San Jose, CA 95134 Recent developments in the use

More information

Revised: June 6, 2007

Revised: June 6, 2007 Isotropic Silicon Etching using HF/Nitric/Acetic Acid (HNA) Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Processing Procedures for BCB Adhesion

Processing Procedures for BCB Adhesion Revised: June 2007 Processing Procedures for BCB Adhesion 1. Introduction In fabricating a semiconductor device or package, adhesion of the materials used to one another is one of the most important considerations.

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Advanced materials & solutions for high h temperatures

Advanced materials & solutions for high h temperatures 2010 Advanced materials & solutions for high h temperatures t Mission To engineer innovative solutions for our customers High temperature Corrosion Mechanical wear 2010 From material to engineering solutions

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

SFxxx-S PID Test Report (Potential Induced Degradation) TUV Rheinland Japan. ARC Product Management 2015.07 Ver. 1

SFxxx-S PID Test Report (Potential Induced Degradation) TUV Rheinland Japan. ARC Product Management 2015.07 Ver. 1 SFxxx-S PID Test Report (Potential Induced Degradation) TUV Rheinland Japan ARC Product Management 2015.07 Ver. 1 Outline SLIDE 1 2 Outline What is PID? TOPICS 3 4 5 6 7 8 Principle of PID & resistance

More information

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD *

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * 201 COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * J. Müller 1, D. Balzar 1,2, R.H. Geiss 1, D.T. Read 1, and R.R. Keller 1 1 Materials Reliability Division, National

More information

The New PVD HI3-Technology: Latest Developments and Potential for Coining Dies.

The New PVD HI3-Technology: Latest Developments and Potential for Coining Dies. The New PVD HI3-Technology: Latest Developments and Potential for Coining Dies. Technical Forum - World Money Fair 2015, Berlin 29 th January 2015, Oerlikon The New Segment Surface Solutions Segment Manmade

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

Chapter 2 The Study on Polycrystalline Pentacene Thin Film Transistors

Chapter 2 The Study on Polycrystalline Pentacene Thin Film Transistors Chapter 2 The Study on Polycrystalline Pentacene Thin Film Transistors 2.1 Introduction Recent focus and attention on organic thin film transistors (TFTs) resulted in dramatic performance improvements

More information

BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM DOT PHOTODETECTORS BY SUB-WAVELENGTH SURFACE GRATINGS

BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM DOT PHOTODETECTORS BY SUB-WAVELENGTH SURFACE GRATINGS Optics and Photonics Letters Vol. 6, No. 1 (2013) 1350002 (6 pages) c World Scientific Publishing Company DOI: 10.1142/S1793528813500020 BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM

More information

Study on Wet Etching of AAO Template

Study on Wet Etching of AAO Template Study on Wet Etching of AAO Template Guofeng Hu, Haiming Zhang, Wenwen Di & Tingting Zhao School of Science, Tianjin Polytechnic University, Tianjin 300160, China E-mail: hugf2009@163.com Abstract The

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications

Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications Ricardo I. Fuentes, Ph.D. Materials and Technologies Corp., (MATECH) Wappingers Falls, NY 12590 To Be Presented at IWLPC Conference,

More information

THIN FILM MATERIALS TECHNOLOGY

THIN FILM MATERIALS TECHNOLOGY THIN FILM MATERIALS TECHNOLOGY Sputtering of Compound Materials by Kiyotaka Wasa Yokohama City University Yokohama, Japan Makoto Kitabatake Matsushita Electric Industrial Co., Ltd. Kyoto, Japan Hideaki

More information