Fabrication and Characterization of bulk FinFETs for Future Nano- Scale CMOS Technology

Size: px
Start display at page:

Download "Fabrication and Characterization of bulk FinFETs for Future Nano- Scale CMOS Technology"

Transcription

1 nd US-Korea NanoForum, LA Fabrication and Characterization of bulk FinFETs for Future Nano- Scale CMOS Technology School of EECS and National Education Center for Semiconductor Technology Kyungpook National University, Daegu, Korea

2 ontents Introduction Simulation Study Fabrication of Bulk FinFETs by Spacer Technology by Selective Si3N4 Recess Device and SRAM Cell Characteristics Summary

3 ntroduction : Technology Roadmap Beyond Bulk LDD CMOS Performance Double/Triple-Gate SOI/SiGe G SOI PD/FD CMOS TG BG Double-Gate CMOS Si G SiGe SiGe CMOS (high mobility) G Fin Double/Triple-Gate FET Bulk Halo G Bulk LDD CMOS (Halo) Time

4 ntroduction Driving Force of CMOS Scaling-down: High Performance and High Integration Density A Promising Device Structure Double/Triple-Gate MOSFETs (or FinFETs) Why Double/Triple-Gate Transistor? Robustness against SCE Higher Current Drivability Good Subthreshold Swing S Si film G G D

5 ntroduction : Types of Double-Gate Transistors X S Z Y Top Gate Bottom Gate Current- Carrying Plane D (a) Type I Silicon Wafer Current- Carrying Plane Left Gate current direction D Z Y X Right Gate S Silicon Wafer (b) Type II Current- Carrying Plane S current direction Right Gate D Z Left Y Gate X Process technology of FinFET is easy and compatible with conventional fabrication process Silicon Wafer (c) Type III. P. Wong et al., IBM, vol. 87, no. 4, p.537, 1999, Proceedings of the IEEE

6 Types of Double/Triple-Gate Transistors Type Key Geometry Type I (Planar DG FETs) Type II (Vertical DG FETs) Type III (FinFETs) (Triple-Gate MOSFETs) Gate Position Top/Bottom Left/Right (or Cylinder) Left/Right Left/Right/Top Body Shape Horizontal Vertical Vertical Vertical Current Carrying Plane Horizontal Surfaces Side Surfaces Side Surfaces Side Surfaces Current Flow Direction Horizontal Vertical Horizontal Horizontal

7 ouble-gate Transistor (SOI FinFET) FinFET simple, self-aligned double-gates good process compatibility thickness control of fin body RIE damage on the channel, high resistance D. Hisamoto et al., UC Berkeley, p.1032, IEDM 1998

8 Body ody-tied Double/Triple-Gate MOSFET Using ulk Wafer (Bulk FinFET) Oxide Si Substrate Gate T FOX 0 H Fin x j W Fin Low wafer cost Low defect density Less back-bias effect High heat transfer rate to substrate Good process compatibility Schematic 3-D View J.-H. Lee., Korea/Japan/USA patent World 1 st Cost-Effective Double/Triple-Gate MOSFETs

9 ross-sectional Views (Body Structure) for 3- imensional Device Simulation Gate Fin body Gate Fin body SiO 2 SiO 2 Si sub Si sub SOI FinFET Bulk FinFET

10 -D Simulation Results V T (V) V L G =25 nm T OX =1.5 nm N a =1x10 19 cm -3 H Fin =70 nm x j, =66 nm Bulk SOI Fin Width (nm) V T and DIBL versus Fin Width DIBL (mv/0.9v) Subthreshold Swing (mv/dec) L G =25 nm T OX =1.5 nm qnsubt =Φ + 2φ + b for fully depleted body 2C ox T MS B V DS =0.9 V V DS =0.05 V N a =1x10 19 cm Fin Width (nm) J.-H. Lee et al., KNU, p. 102, Si Nanoelectronics Workshop 2003 Bulk SOI H Fin =70 nm x j, =66 nm Subthreshold Swing versus Fin Width

11 Body -D Simulation Results Oxide Si Substrate Gate T FOX H Fin Heat 0 x j W Fin 3-D Schematic View of Heat Transfer from Body to Substrate Device Temperature (K) L G =30 nm T OX =1.5 nm V DS =0.9 V substrate temperature=300 K SOI Bulk Gate Voltage (V) T~130 C Device Temperature versus Gate Voltage J.-H. Lee et al., KNU, p. 102, Si Nanoelectronics Workshop 2003

12 abrication Steps by Using Spacer Technology SiN 80 nm SiO 2 30 nm SiN 25 nm SiO 2 30 nm Si 4 Stack Layer Growth and Deposition SiN Removal Using Phosphoric Acid Poly-Si Spacer 30 nm Poly-Si Spacer Photo Lithography, SiN Etching, Poly-Si Depo., and Dry Etching Si

13 abrication Steps SiO 2, SiN, and SiO 2 Dry Etching Fin Dry Etching Top Si Width 25 nm Bottom Si Width 100 nm Si Fin Height 230 nm Fin body

14 abrication Steps SiO 2 Thin Ox., Filling, and Densification Wet Etch-back Field Oxide Thickness 80 nm SiO 2 Chemical Mechanical Polishing (CMP) / 27

15 irst Body-Tied Triple-Gate MOFET (Bulk infet) As +, 20 kev 3x10 15 /cm 2, 2 Fin Gate Poly-Si Etching 40 nm Poly-Si Drain Current (A) 10-7 V DS = 0.1 V Vbs = 0 V Vbs = -1 V Vbs = -2 V 50 nm 25 nm 40 nm Gate Voltage (V) I D -V GS Characteristics of 40 nm bulk NFiNFET T. Park et al., SNU/KNU, Nanomes T. Park et al., SNU/KNU, Physica E19, p.6, 2003

16 odified Structure of Bulk FinFET Top Si Width 25 nm Bottom Si Width 100 nm Si Fin Height 230 nm CMP and partial etch-back unclear Oxide Thick SiN liner formation & SiN liner only recessed Gate Electrode SiO 2 Si SiO 2 Fin SiN Clear Sidewall Open Planarization of the Top Surface of Poly-Si Gate Easy nano-scale patterning of gate poly-si Si Substrate E. Yoon, J.-H. Lee, and T. park, Korea/Japan/USA/Germany patent

17 ey Process Steps of Modified Bulk FinFET

18 EM Views of Key Process Steps Gate SiN Active Fin SiO 2 SiN Si Substrate Si Substrate SiN Liner Deposition SiN Recess Etch

19 EM Views of Key Process Steps Gate Etch Profiles Mask SiN Width = 70 nm, Poly-Si Neck Width = 47 nm Poly-Si Bottom Width = 64 nm SiN Gate Stack SiO 2 Si Substrate Gate Stack SiN Poly-Si Fins Si Substrate Along Gate Line Across Gate Line T. Park et al., Samung/SNU/KNU, Symp. on VLSI Tech., 2003

20 EM and TEM Views of Key Process Steps 30 nm SiN Liner Deposition Si Fin nm 99 nm fin Poly-Si Gate Poly-Si SiO nm SiN Si Substrate SiN Along Gate Line 12 nm fin body T. Park et al., Samung/SNU/KNU, IEDM., 2003

21 ulk FinFET Measurement I D -V GS plot: I D, DIBL, SS, and I sub I D, I SUB (A) V V BS = 0 V 1 V V DS =-0.05 V W Fin =25 nm H Fin =100 nm I SUB V DS =-1 V V I D V DS =1 V Gate Voltage (V) V DS =0.05 V L G =100 nm T Ox,top =1.8 nm T Ox,top =5.5 nm ISUB 0.05 V Measured I D -V GS of N and P type bulk FinFETs with drain bias high I on (~200 V GS =1.5 V) compared to that of first lot devices low I off (<0.2 V DS =1.0 V) I sub /I D < ~10-7

22 tatic Noise Margin (SNM) Bulk FinFET Planar MOSFET Pass Load Pull-Down W/L Load: 35 nm/90 nm Pass: 35 nm/90 nm Pull-Down: 50 nm/90 nm

23 ummary Briefly introduced key features of double/triple-gate FinFETs Bulk FinFETs were compared with SOI FinFETs Nearly the same device scalability Better wafer quality Better characteristics regarding the body connected to sub. Bulk FinFETs have been demonstrated experimentally First nano-scale bulk FinFET realized by using spacer technology Modified bulk FinFETs realized by adopting selective Si 3 N 4 recess Good device characteristics were achieved and SNM of 280 mv was obtained from SRAM cell at V CC of 1.2 V

24 -D Device Structure for Simulation Gate L G =25 nm T OX =1.5 nm SiO 2 body Si substrate body Si substrate

25 ey Process Steps for Thinning of the Fin Body

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.286 ISSN(Online) 2233-4866 Highly Scalable NAND Flash Memory Cell

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005 EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005 Mark Lundstrom Electrical and Computer Engineering Purdue University, West Lafayette, IN USA 765-494-3515 lundstro@purdue.edu 1 evolution

More information

Lecture 15. Advanced Technology Platforms. Background and Trends State-of-the-Art CMOS Platforms

Lecture 15. Advanced Technology Platforms. Background and Trends State-of-the-Art CMOS Platforms Lecture 15 Advanced Technology Platforms Background and Trends State-of-the-Art CMOS Platforms Reading: multiple research articles (reference list at the end of this lecture) Technology and Applications

More information

New materials on horizon for advanced logic technology in mobile era

New materials on horizon for advanced logic technology in mobile era New materials on horizon for advanced logic technology in mobile era source gate Kelin J. Kuhn, TED 2012 drain Franz Kreupl, IFX 2003 Hsinchu March 6, 2013 - Prof. Dr. Franz Kreupl 1 Outline Introduction

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- ", Raj Kamal, 1

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- , Raj Kamal, 1 EDC Lesson 12: Transistor and FET Characteristics Lesson-12: MOSFET (enhancement and depletion mode) Characteristics and Symbols 2008 EDCLesson12- ", Raj Kamal, 1 1. Metal Oxide Semiconductor Field Effect

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Nanoelektronik: Von der Realität bis zur Utopie

Nanoelektronik: Von der Realität bis zur Utopie LNQE-Kolloquium Nanoelektronik: Von der Realität bis zur Utopie Heinrich Kurz Institut für Halbleitertechnik, RWTH-Aachen AMICA - Advanced Microelectronic Center Aachen, AMO GmbH I. Einleitung II. Realität

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Transconductance. (Saturated) MOSFET Small-Signal Model. The small-signal drain current due to v gs is therefore given by

Transconductance. (Saturated) MOSFET Small-Signal Model. The small-signal drain current due to v gs is therefore given by 11 (Saturated) MOSFET Small-Signal Model Transconductance Concept: find an equivalent circuit which interrelates the incremental changes in i D v GS v DS etc. for the MOSFET in saturation The small-signal

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN

MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN Student name: Truong, Long Giang Student #: 970304580 Course: ECE1352F 1. INTRODUCTION The technological trend towards deep sub-micrometer dimensions,

More information

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation 90 Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation Takafumi Tokunaga Katsutaka Kimura

More information

Benchmarking the Screen-Grid Field Effect Transistor (SGrFET) for Digital Applications

Benchmarking the Screen-Grid Field Effect Transistor (SGrFET) for Digital Applications Benchmarking the Screen-Grid Field Effect Transistor (SGrFET) for Digital Applications By Yasaman Shadrokh A dissertation Presented to the Imperial College London University in Partial Fulfilment of the

More information

How to Design and Build a Building Network

How to Design and Build a Building Network Logo azienda/università BC1 Le tecnologie Elettroniche e Informatiche al servizio della gestione energetica Enrico Sangiorgi Workshop Diapositiva 1 BC1 inserire i propri riferimenti Nome e Cognome relatore

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

An Introduction to the EKV Model and a Comparison of EKV to BSIM

An Introduction to the EKV Model and a Comparison of EKV to BSIM An Introduction to the EKV Model and a Comparison of EKV to BSIM Stephen C. Terry 2. 3.2005 Integrated Circuits & Systems Laboratory 1 Overview Characterizing MOSFET operating regions EKV model fundamentals

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Engineering Practical Jeffrey Frederick Gold Fitzwilliam College University of Cambridge Lent 1997 FABRCATON AND CHARACTERZATON

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics Solid-State Electronics 47 (2003) 49 53 www.elsevier.com/locate/sse Short Communication Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics K.J. Yang a,

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 11 MOSFET part 2 guntzel@inf.ufsc.br I D -V DS Characteristics

More information

Lecture 9 MOSFET(II) MOSFET I-V CHARACTERISTICS(contd.)

Lecture 9 MOSFET(II) MOSFET I-V CHARACTERISTICS(contd.) Lecture 9 MOSFET(II) MOSFET I-V CHARACTERISTICS(contd.) Outline 1. The saturation regime 2. Backgate characteristics Reading Assignment: Howe and Sodini, Chapter 4, Section 4.4 Announcements: 1. Quiz#1:

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor Study the characteristics of energy bands as a function of applied voltage in the metal oxide semiconductor structure known

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays J.H. Daniel 1, a, B.S. Krusor 1, N. Chopra 2, R.A. Street 1, P.M. Kazmaier 2, S.E. Ready 1, J.H. Ho 1 1 Palo Alto Research

More information

Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue

Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue Macronix International Co., Ltd. Hsinchu,, Taiwan Email: htlue@mxic.com.tw 1 Outline Introduction 2D Charge-Trapping (CT) NAND 3D CT NAND Summary

More information

Extremely Scaled Silicon Nano-CMOS Devices

Extremely Scaled Silicon Nano-CMOS Devices Extremely Scaled Silicon Nano-CMOS Devices LELAND CHANG, STUDENT MEMBER, IEEE, YANG-KYU CHOI, DAEWON HA, PUSHKAR RANADE, SHIYING XIONG, JEFFREY BOKOR, FELLOW, IEEE, CHENMING HU, FELLOW, IEEE, AND TSU-JAE

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Contents. State of the Art and Current Trends for Multiple Gate MOS Devices. Current, Charge and Capacitance Model for Multiple Gate MOSFETs

Contents. State of the Art and Current Trends for Multiple Gate MOS Devices. Current, Charge and Capacitance Model for Multiple Gate MOSFETs Contents Contents List of Publications... 5 List of Symbols...10 List of Figures... 16 Chapter 1 State of the Art and Current Trends for Multiple Gate MOS Devices 1A. Introduction... 5 1B. Compact modeling...

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

Aplicaciones de la nanolitografía de oxidación por AFM

Aplicaciones de la nanolitografía de oxidación por AFM ForceTool Aplicaciones de la nanolitografía de oxidación por AFM (AFM oxidation nanolithography or Local oxidation nanolithography) Templates for the growth of molecular arquitectures Transistors Sensors

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1 CO2005: Electronics I The Field-Effect Transistor (FET) Electronics I, Neamen 3th Ed. 1 MOSFET The metal-oxide-semiconductor field-effect transistor (MOSFET) becomes a practical reality in the 1970s. The

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Ultra-High Density Phase-Change Storage and Memory

Ultra-High Density Phase-Change Storage and Memory Ultra-High Density Phase-Change Storage and Memory by Egill Skúlason Heated AFM Probe used to Change the Phase Presentation for Oral Examination 30 th of May 2006 Modern Physics, DTU Phase-Change Material

More information

Through-mask Electro-etching for Fabrication of Metal Bipolar Plate Gas Flow Field Channels

Through-mask Electro-etching for Fabrication of Metal Bipolar Plate Gas Flow Field Channels 991 Downloaded 23 Dec 21 to 24.16.113.125. Redistribution subject to ECS license or copyright; see http://www.ecsdl.org/terms_use.jsp ECS Transactions, 33 (1) 991-16 (21) 1.1149/1.3484593 The Electrochemical

More information

BJT Ebers-Moll Model and SPICE MOSFET model

BJT Ebers-Moll Model and SPICE MOSFET model Department of Electrical and Electronic Engineering mperial College London EE 2.3: Semiconductor Modelling in SPCE Course homepage: http://www.imperial.ac.uk/people/paul.mitcheson/teaching BJT Ebers-Moll

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

4 th Workshop on Innovative Memory Technologies

4 th Workshop on Innovative Memory Technologies Resistive RAM (ReRAM) Technology for High Density Memory Applications Sunjung Kim sj-21.kim@samsung.com Semiconductor R&DC Center SAMSUNG Electronics 4 th Workshop on Innovative Memory Technologies Contents

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

PHYSICS OF DEVICES ESONN 04. ARCES, University of Bologna. 8/19/2004 Enrico Sangiorgi. Enrico Sangiorgi. ARCES University of Bologna

PHYSICS OF DEVICES ESONN 04. ARCES, University of Bologna. 8/19/2004 Enrico Sangiorgi. Enrico Sangiorgi. ARCES University of Bologna PHYSICS OF DEVICES Enrico Sangiorgi ARCES, University of Bologna ESONN 04 ARCES University of Bologna OUTLINE OF THE COURSE CLASS 1 Introduction to nano-micro micro-electronics. Electrostatic and electrodynamics

More information

Characteristics of silicon oxide thin films prepared by sol electrophoretic deposition method using tetraethylorthosilicate as the precursor

Characteristics of silicon oxide thin films prepared by sol electrophoretic deposition method using tetraethylorthosilicate as the precursor Available online at www.sciencedirect.com Current Applied Physics 9 (2009) 551 555 www.elsevier.com/locate/cap www.kps.or.kr Characteristics of silicon oxide thin films prepared by sol electrophoretic

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration M G Kibria, F Zhang, T H Lee, M J Kim and M M R Howlader Dept. Electrical and Computer Engineering,

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

Simulation of Gate Leakage Currents in UTB MOSFETs and Nanowires Andreas Schenk ETH Zurich

Simulation of Gate Leakage Currents in UTB MOSFETs and Nanowires Andreas Schenk ETH Zurich Simulation of Gate Leakage Currents in UTB MOSFETs and Nanowires Andreas Schenk ETH Zurich Outline Introduction Simulation approaches EMA-based methods Ab-initio methods Model calibration using capacitors

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. October 6, 2005

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. October 6, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 9-1 Lecture 9 - MOSFET (I) MOSFET I-V Characteristics October 6, 25 Contents: 1. MOSFET: cross-section, layout, symbols 2. Qualitative operation

More information

An analytical gate tunneling current model for MOSFETs

An analytical gate tunneling current model for MOSFETs Физика и техника полупроводников, 2012, том 46, вып. 3 An analytical gate tunneling current model for MOSFETs Iman Abaspur Kazerouni, Seyed Ebrahim Hosseini Electrical and Computer Department, Sabzevar

More information

Modeling the Characteristics of a High-k HfO 2 -Ta 2 O 5 Capacitor in Verilog-A

Modeling the Characteristics of a High-k HfO 2 -Ta 2 O 5 Capacitor in Verilog-A Modeling the Characteristics of a High-k HfO 2 -Ta 2 O 5 Capacitor in Verilog-A George V. Angelov, Member, IEEE Abstract A circuit simulation model of a MOS capacitor with high-k HfO 2 Ta 2 O 5 mixed layer

More information

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. March 6, 2003

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. March 6, 2003 6.12 - Microelectronic Devices and Circuits - Spring 23 Lecture 9-1 Lecture 9 - MOSFET (I) MOSFET I-V Characteristics March 6, 23 Contents: 1. MOSFET: cross-section, layout, symbols 2. Qualitative operation

More information

The future of nano-computing

The future of nano-computing The future of nano-computing George Bourianoff Intel Corporation Presented to International Engineering Consortium and Electrical and Computer Engineering Department Heads Jan. 27, 2003 San Jose, Ca 2/5/2003

More information