Fabrication Technology of Periodical Nano-cavities for Bio-analytical Applications

Size: px
Start display at page:

Download "Fabrication Technology of Periodical Nano-cavities for Bio-analytical Applications"

Transcription

1 Fabrication Technology of Periodical Nano-cavities for Bio-analytical Applications O.M. Piciu 1, M.C. van der Krogt 1, M.W. Docter 2, P.M. Sarro 1, A. Bossche 1 1 Delft University of Technology DIMES/EWI, The Netherlands Mekelweg 4, 2628 CD, Delft, o.piciu@ewi.tudelf.nl 2 Delft University of Technology, Department of Imaging Science and Technology, Delft, The Netherlands Summary: In this paper we present a process technology based on electron-beam lithography and lift-off technique, for fabrication of periodical nano-cavities in thin metal layers on glass substrates. Every cavity serves as a reaction chamber for molecular analysis (DNA hybridization, protein immuno-assay). The phenomenon that stands for the bio-detection is represented by the recently discovered optical effects that appear at the passing light through periodically distributed sub-wavelength apertures in optically thick metal films, i.e. spectral selection, enhanced transmission and small optical diffraction [1]. Keywords: periodical nano-cavities, electron-beam lithography, enhanced light transmission I. Introduction In the last years an important part of the research work done all over the world in technology has been directed toward devices for bio-chemical analyses. The specific market needs low cost test tools that use reduced amount of reagents and samples with high reaction speeds and best various parameters detection. When using the optical method for detection, many times the miniaturization of the system has a big limit in the resolution. The recently discovered optical effect that appears at the transmission of the light through sub-wavelength apertures, allow us to develop a novel bio-analytical device. The atto-liter titer plate for high-speed molecular analyses represents our current point of interest. The basis of the device is an optical nano-hole array in a thin metal film (i.e. 200nm gold), where each hole serves as a reaction chamber. The holes are first filled (individually or as sub-arrays) with a solution containing certain molecules necessary for detection (DNA sequences, antibodies, antigens). These molecules bind to the gold substrate through a sulphur-gold chemical bond. In the next step, a transparent plate with embedded nano-channels covers the holes. Via these channels, the sample containing the target molecules is led over the holes. A chemical

2 recognition between the target molecules and the molecules bound to the gold substrate takes place. The detection can be made in two different ways: Excited fluorochrome-bound molecules inside the holes will be visible as transmitted light; Gathered molecules inside the holes can change the optical properties of the transmitted light. Therefore, the device is a titer plate with up to several millions of reaction wells per square centimeter of chip area, instead of 20 wells per square centimeter of chip area as recently developed nano-liter titer plates usually have, the method allowing one to make a detection through ~10^4 holes in parallel, receiving a large amount of information simultaneously. Another advantage is the use of different molecules in every hole (or in every square unit) in order to have parallel, real time analyses in a single experiment. Dispensing methods for this purpose are in development at the moment, but of second interest. II. Technology The proposed method in this paper is a lift-off technique. Fused silica samples (19x19 mm) were first ultrasonically cleaned in HNO3 100%, DI (de-mineralized) water and IPA (isopropyl alcohol), each time for 2 minutes, and finally spin-dried. Next, a 20nm thick chromium film was sputtered on top of the substrate (using an Alliance Concept Ac450 machine and a deposition rate of ~20nm/min). This chromium layer serves as a reflective layer to facilitate the focusing of the Electron Beam Pattern Generator (EBPG) and is also used as a conductive layer for electron discharging during the electron beam (e-beam) exposure. On top of the chromium, a bi-layer resist scheme was applied. The bottom layer is HPR-504 (from Olin) which is an organic, positive tone resist for NUV (near ultraviolet) lithography. The top layer is hydrogen silsesquioxane (HSQ=FOx-12 from Dow Corning), as previously demonstrated inorganic, negative tone resist for highresolution electron beam lithography [2] [3]. First the photo-resist was spin-coated at 5000 rpm for 55 seconds on a Fairchild spinner, after using a primer (HMDS - hexamethyldisilazane) to enhance its adhesion to the metal. Then, it was hard baked at 100ºC, 200ºC and 250ºC, each for 2 minutes, and then over-coated with the HSQ resist, at 6000 rpm for 45 seconds, and baked at 150ºC and 220ºC, again for 2 minutes each. The e-beam resist had a thickness of~150nm and the total thickness of the bi-layer was ~1.1µm. Furthermore, different exposure tests were realized using a Leica EBPG 5000+, in order to find out the correct dose and to overcome issues as proximity effects and overexposure. Finally, using an acceleration voltage of 100 kv and an aperture of 400µm, the following settings were determined: with a beam step size (BSS) of 5nm and an estimated spot size of 8nm, a dose of 4400µC/cm2 for 100nm structures, and a dose of 2400µC/cm2 for 150nm structures; square-dots with periodical and respective

3 random distribution were patterned into the e-beam sensitive resist. After the exposure, the development was done in Microposit MF322 pure solution (Shipley), for one minute, and then the samples were rinsed in DI water and spin-dried. The next process step was to transfer the dot-array-pattern, through reactive ion etching (RIE) pillars into the hard baked HPR-504 photo-resist, using O2 plasma, with a Leybold-Heraeus Z401S parallel plate type RIE reactor. The etching rate of the resist was ~30nm/min at 20sccm O2, 20W, 1.4µbar, and the process was real-time controlled via an interferometer (Sofie Instruments). After obtaining the pillars, each sample was electron-gun evaporated with 200nm of gold (Au), using a Leybold-Heraeus L560 evaporator. In the first tests, the gold was deposited at an evaporation rate of 5Ǻ/s, but due to the fact that these conditions introduced small gold spheres on the surface, which made it too rough for our application, we decreased the evaporation rate to 1Ǻ/s, obtaining a much smoother surface. In the last step of the process, the pillars were lifted-off in fuming HNO3 at 40ºC for 6 minutes, followed by an ultrasonic cleaning for 3 minutes in the same conditions. Finally, the samples were rinsed with IPA (isopropyl alcohol) and spin-dried. Inspection and pictures were realized with a Philips XL30SFEG scanning electron microscope (SEM). III. Results and discussions With the above presented technique, different samples have been obtained: square-hole arrays in gold with the hole size of 150nm or 160nm and the pitch of 750nm or 1050nm (see Figure 1 b)) randomly distributed square-holes in gold with the same hole size as the previous sample and with the same filling fractions (see Figure 2 b)) The arrays are intended to be integrated into the atto liter titer plate device, as reaction chambers where the optical detection takes place. Therefore, to optically characterize the nano-hole arrays we measured the intensity of the light through both periodically and randomly distributed structures, using Koehler illumination, with an upright optical microscope (Leica DM-RXA). Furthermore, we calculated the transmission by dividing the obtained spectra, corrected for the background light, with the spectrum of the light source, and plotted the results as can be seen in the figures below. The measurements were done in the spectral range of 400nm and 800nm, where the sensitivity of the system is optimal.

4 corrected spectra Au a) I_measured/I_illumination (arb. units) wavelength [nm] b) c) Figure 1. a) Spectra of the transmitted light through the periodically distributed square-holes in Au, corrected for the background light represents the array with the hole size of 150nm and 750nm pitch, 0407: hole size of 150nm and 1050nm pitch, 0408: hole size of 160nm and 750nm pitch, 0409: hole size of 160nm and 1050nm pitch; b) SEM picture of a square-hole array in 200nm of Au, with the hole size of ~160nm and pitch of 750nm; c) CCD image of the light through the nano-hole array, hole size 160nm and 1050nm pitch.

5 corrected spectra AuR a) I_measured/I_illumination (arb units) wavelength [nm] b) c) Figure 2. a) Spectra of the transmitted light through the random distributed square-holes in Au, with the same filling fraction as the square-hole arrays, corrected for the background light represents the area with the hole size of 160nm, 0403: hole size of 120nm, 0404: hole size of 150nm; b) SEM picture of random distributed square-holes in 200nm of Au, with the hole size of ~150nm; c) CCD image of the light through the random holes, with the holes size of 160nm. Enhanced transmission, small angular diffraction and spectral selection of light passing through an array of sub-wavelength apertures made in optically thick metal films, have been identified as extraordinary light properties. Comparing the two spectra of the transmitted light through our randomly and periodically distributed holes in gold on glass, when using the same filling fraction (the same number of holes of the same size /

6 same unit area), it came out that the intensity of the light through the periodically distributed holes is more than of a factor of seven higher than through random holes distribution. Furthermore, the spectrum of the arrays in gold shows a series of different peaks at wavelengths between 550nm and 750nm, proving the coupling of the surface plasmons with the photons. The peaks are still broad, as Koehler illumination has been used for the measurements. More defined peaks might be obtain using collimated light. IV. Conclusion Different nano-hole arrays with the hole size between 100nm and 200 nm have been fabricated in gold on glass, using negative tone electron beam resist, electron beam lithography and lift-off technique. Furthermore, for the optical characterization of the arrays, randomly distributed holes in the same substrates have been fabricated, using the same filling fractions and the same technique. An enhanced transmission of light has been observed for the periodically distributed holes versus the random holes distribution. Due to their higher and uniform intensity, the arrays are suitable to be further integrated into the atto-liter titer plate device. Acknowledgment This work was financially supported by the Stichting voor de Technische Wetenschappen (STW) and was conducted in the Nanofacility Department of the Kavli Institute of NanoScience, TU Delft, The Netherlands. References [1] T.W. Ebbesen, H. L. Lezec, H. F. Ghaemi, T. Thio, P. A. Wolff Extraordinary optical transmission through sub-wavelength hole arrays, Nature, 391, , 1998 [2] M. J. Word, I. Adesida, P.R. Berger, Nanometer-period gratings in hydrogen silsesquioxane fabricated by electron beam lithography, J. Vac. Sci. Technol. B 21(6), Nov Dec 2003 [3] F. C.M.J.M. van Delft, J. P. Weterings, A. K. van Langen-Suurling, H. Romijn, Hydrogen silesquioxane/novolak bilayer resist for high aspect ratio nanoscale e-beam lithography, J. Vac. Sci. Technol. B 18(6), Nov/Dec 2000

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Introduction Following our previous lab exercises, you now have the skills and understanding to control

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

Laser Based Micro and Nanoscale Manufacturing and Materials Processing

Laser Based Micro and Nanoscale Manufacturing and Materials Processing Laser Based Micro and Nanoscale Manufacturing and Materials Processing Faculty: Prof. Xianfan Xu Email: xxu@ecn.purdue.edu Phone: (765) 494-5639 http://widget.ecn.purdue.edu/~xxu Research Areas: Development

More information

LOR and PMGI Resists. Figure 1: SFG2 w/tok Resist 80 nm line. Figure 2: PMGI w/spr 660 0.6 3 um line. Figure 3: LOR 30B w/spr 220 4.

LOR and PMGI Resists. Figure 1: SFG2 w/tok Resist 80 nm line. Figure 2: PMGI w/spr 660 0.6 3 um line. Figure 3: LOR 30B w/spr 220 4. LOR and PMGI Resists DESCRIPTION LOR and PMGI resists are based on polydimethylglutarimide. Its unique properties enable LOR and PMGI products to perform exceptionally well when used, either as a sacrificial

More information

Photolithography (source: Wikipedia)

Photolithography (source: Wikipedia) Photolithography (source: Wikipedia) For earlier uses of photolithography in printing, see Lithography. For the same process applied to metal, see Photochemical machining. Photolithography (also called

More information

Chapter 6 Metal Films and Filters

Chapter 6 Metal Films and Filters Chapter 6 Metal Films and Filters 6.1 Mirrors The first films produced by vacuum deposition as we know it were aluminum films for mirrors made by John Strong in the 1930s; he coated mirrors for astronomical

More information

Light management for photovoltaics using surface nanostructures

Light management for photovoltaics using surface nanostructures Light management for photovoltaics using surface nanostructures Roberta De Angelis Department of Industrial Engineering and INSTM, University of Rome Tor Vergata New Materials For Optoelectronics webnemo.uniroma2.it

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff December 2012 Specifying Advanced Plasma Deposited Hard Coated Optical Bandpass and Dichroic Filters. Introduction

More information

Copyright 1999 2010 by Mark Brandt, Ph.D. 12

Copyright 1999 2010 by Mark Brandt, Ph.D. 12 Introduction to Absorbance Spectroscopy A single beam spectrophotometer is comprised of a light source, a monochromator, a sample holder, and a detector. An ideal instrument has a light source that emits

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Original Paper Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Satoshi Hashimoto 1, Tsuguo Sakurada 1, and Minoru Suzuki 2 1 JFE-Techno research corporation, 1-1 Minamiwatarida, Kawasaki,

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Photonic crystal based immunosensor for clinical diagnosis

Photonic crystal based immunosensor for clinical diagnosis 1,4 ID:31132 2 nd year of the PhD in Electronic Devices Official Tutors: Prof. M. De Vittorio 1,2,3, Ing. Tiziana Stomeo 1, Prof. Fabrizio Pirri 4, Prof. Carlo Ricciardi 4 Collaborators: A. Qualtieri 1,

More information

NEAR FIELD OPTICAL MICROSCOPY AND SPECTROSCOPY WITH STM AND AFM PROBES

NEAR FIELD OPTICAL MICROSCOPY AND SPECTROSCOPY WITH STM AND AFM PROBES Vol. 93 (1997) A CTA PHYSICA POLONICA A No. 2 Proceedings of the 1st International Symposium on Scanning Probe Spectroscopy and Related Methods, Poznań 1997 NEAR FIELD OPTICAL MICROSCOPY AND SPECTROSCOPY

More information

Resists, Developers and Removers

Resists, Developers and Removers Resists, Developers and Removers Revised: 20131107 Source: www.microchemicals.com/downloads/application_notes.html Positive, Negative, and Image Reversal Resists Positive resists Positive... Negative...

More information

Bachelor Project. Nano-stenciling for fabrication of metal nanoparticles. Nano-stenciling for fabrication of metal nanoparticles

Bachelor Project. Nano-stenciling for fabrication of metal nanoparticles. Nano-stenciling for fabrication of metal nanoparticles Bachelor Project Nano-stenciling for fabrication of metal nanoparticles Nano-stenciling for fabrication of metal nanoparticles External sensor: Supervisors: Maria Dimaki Jakob Kjelstrup-Hansen, Ole Albrektsen

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

Electronically Controlled Surface Plasmon Dispersion and Optical Transmission through Metallic Hole Arrays Using Liquid Crystal

Electronically Controlled Surface Plasmon Dispersion and Optical Transmission through Metallic Hole Arrays Using Liquid Crystal Electronically Controlled Surface Plasmon Dispersion and Optical Transmission through Metallic Hole Arrays Using Liquid Crystal NANO LETTERS 2008 Vol. 8, No. 1 281-286 Wayne Dickson,* Gregory A. Wurtz,

More information

... complement Information for Processing

... complement Information for Processing AZ nlof 2xx Negative Resist... complement Information for Processing revised 25--7 General Information AZ nlof 2xx is a family of negative s, with the exposed remaining on the substrate after development.

More information

Pulsed laser deposition of organic materials

Pulsed laser deposition of organic materials Pulsed laser deposition of organic materials PhD theses Gabriella Kecskeméti Department of Optics and Quantum Electronics University of Szeged Supervisor: Dr. Béla Hopp senior research fellow Department

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope International Journal of Arts and Sciences 3(1): 18-26 (2009) CD-ROM. ISSN: 1944-6934 InternationalJournal.org Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe Bedri Onur Kucukyildirim,

More information

5. Scanning Near-Field Optical Microscopy 5.1. Resolution of conventional optical microscopy

5. Scanning Near-Field Optical Microscopy 5.1. Resolution of conventional optical microscopy 5. Scanning Near-Field Optical Microscopy 5.1. Resolution of conventional optical microscopy Resolution of optical microscope is limited by diffraction. Light going through an aperture makes diffraction

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Saulius Marcinkevičius Optics, ICT, KTH 1 Outline Optical near field. Principle of scanning near field optical microscope

More information

Chapter 6. Photolithography 2005/10/18 1

Chapter 6. Photolithography 2005/10/18 1 Chapter 6 Photolithography 2005/10/18 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Peter Cheang, Lorna Christensen, Corinne Reynaga Ultratech Stepper, Inc. San Jose, CA 95134 Recent developments in the use

More information

The Optimization and Characterization of Ultra-Thick Photoresist Films

The Optimization and Characterization of Ultra-Thick Photoresist Films The Optimization and Characterization of Ultra-Thick Photoresist Films Warren W. Flack, Warren P. Fan, Sylvia White Ultratech Stepper, Inc. San Jose, CA 95134 There are an increasing number of advanced

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

P R E A M B L E. Facilitated workshop problems for class discussion (1.5 hours)

P R E A M B L E. Facilitated workshop problems for class discussion (1.5 hours) INSURANCE SCAM OPTICS - LABORATORY INVESTIGATION P R E A M B L E The original form of the problem is an Experimental Group Research Project, undertaken by students organised into small groups working as

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub.1µm imaging for many imaging and non-imaging microelectronic

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Polymer growth rate in a wire chamber with oxygen, water, or alcohol gas additives

Polymer growth rate in a wire chamber with oxygen, water, or alcohol gas additives SLAC-PUB-13 June 6, 8 Polymer growth rate in a wire chamber with oxygen, water, or alcohol gas additives Adam M. Boyarski Stanford Linear Accelerator Center, M.S. 95, 575 Sand Hill Rd, Menlo Park, CA 95,

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Spectral Measurement Solutions for Industry and Research

Spectral Measurement Solutions for Industry and Research Spectral Measurement Solutions for Industry and Research Hamamatsu Photonics offers a comprehensive range of products for spectroscopic applications, covering the, Visible and Infrared regions for Industrial,

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser V.Nassisi #, G.Caretto #, A. Lorusso #, D.Manno %, L.Famà %, G.Buccolieri %, A.Buccolieri %, U.Mastromatteo* # Laboratory of Applied

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Short overview of TEUFEL-project

Short overview of TEUFEL-project Short overview of TEUFEL-project ELAN-meeting may 2004 Frascati (I) Contents Overview of TEUFEL project at Twente Photo cathode research Recent experience Outlook Overview FEL Drive laser Photo cathode

More information

Chapter 4 COATINGS Full Reflective Coatings:

Chapter 4 COATINGS Full Reflective Coatings: Chapter 4 COATINGS Technical developments in coatings for plastic optics have resulted in optical and durability characteristics once believed possible only with glass. These advances in coating technology

More information

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh extreme lithography extreme lithography xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh Wilhelm-Runge-Str. 11 89081 Ulm Germany phone +49 731 505 59 00 fax +49 731 505 59 05

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

Modern Classical Optics

Modern Classical Optics Modern Classical Optics GEOFFREY BROOKER Department of Physics University of Oxford OXPORD UNIVERSITY PRESS Contents 1 Electromagnetism and basic optics 1 1.1 Introduction 1 1.2 The Maxwell equations 1

More information

GIANT FREQUENCY SHIFT OF INTRAMOLECULAR VIBRATION BAND IN THE RAMAN SPECTRA OF WATER ON THE SILVER SURFACE. M.E. Kompan

GIANT FREQUENCY SHIFT OF INTRAMOLECULAR VIBRATION BAND IN THE RAMAN SPECTRA OF WATER ON THE SILVER SURFACE. M.E. Kompan GIANT FREQUENCY SHIFT OF INTRAMOLECULAR VIBRATION BAND IN THE RAMAN SPECTRA OF WATER ON THE SILVER SURFACE M.E. Kompan Ioffe Institute, Saint-Peterburg, Russia kompan@mail.ioffe.ru The giant frequency

More information

Keywords: Planar waveguides, sol-gel technology, transmission electron microscopy

Keywords: Planar waveguides, sol-gel technology, transmission electron microscopy Structural and optical characterisation of planar waveguides obtained via Sol-Gel F. Rey-García, C. Gómez-Reino, M.T. Flores-Arias, G.F. De La Fuente, W. Assenmacher, W. Mader ABSTRACT Planar waveguides

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS Trends Biomater. Artif. Organs. Vol. 17(2) pp 43-47 (2004) http//www.sbaoi.org BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS N. Ramesh Babu*,+, Sushant Manwatkar*, K. Prasada Rao* and T. S. Sampath

More information

Simple and scalable fabrication approaches of Nanophotonic structures for PV

Simple and scalable fabrication approaches of Nanophotonic structures for PV Simple and scalable fabrication approaches of Nanophotonic structures for PV Fabien Sorin Surface du Verre et Interfaces (SVI), UMR 125 CNRS/Saint-Gobain, 39, Quai Lucien Lefranc, 93303 Aubervilliers,

More information

Raman spectroscopy Lecture

Raman spectroscopy Lecture Raman spectroscopy Lecture Licentiate course in measurement science and technology Spring 2008 10.04.2008 Antti Kivioja Contents - Introduction - What is Raman spectroscopy? - The theory of Raman spectroscopy

More information

Volumes. Goal: Drive optical to high volumes and low costs

Volumes. Goal: Drive optical to high volumes and low costs First Electrically Pumped Hybrid Silicon Laser Sept 18 th 2006 The information in this presentation is under embargo until 9/18/06 10:00 AM PST 1 Agenda Dr. Mario Paniccia Director, Photonics Technology

More information

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft Calibration of AFM with virtual standards; robust, versatile and accurate Richard Koops VSL Dutch Metrology Institute Delft 19-11-2015 VSL Dutch Metrology Institute VSL is the national metrology institute

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

6) How wide must a narrow slit be if the first diffraction minimum occurs at ±12 with laser light of 633 nm?

6) How wide must a narrow slit be if the first diffraction minimum occurs at ±12 with laser light of 633 nm? Test IV Name 1) In a single slit diffraction experiment, the width of the slit is 3.1 10-5 m and the distance from the slit to the screen is 2.2 m. If the beam of light of wavelength 600 nm passes through

More information

Sun to Fiber: a thin film optical funnel for energy conversion and storage

Sun to Fiber: a thin film optical funnel for energy conversion and storage Sun to Fiber: a thin film optical funnel for energy conversion and storage Matthew Garrett, Juan J. Díaz León, Kailas Vodrahalli, Taesung Kim, Ernest Demaray, Nobuhiko Kobayashi Department of Electrical

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Optical Properties of Thin Film Molecular Mixtures

Optical Properties of Thin Film Molecular Mixtures Optical Properties of Thin Film Molecular Mixtures Donald A. Jaworske NASA Glenn Research Center 2 Brookpark Road Cleveland, OH 4435 e-maih Donald. A.J aworske((_grc.nasa.gov Dean A. Shumway Brigham Young

More information

UV/VIS/IR SPECTROSCOPY ANALYSIS OF NANOPARTICLES

UV/VIS/IR SPECTROSCOPY ANALYSIS OF NANOPARTICLES UV/VIS/IR SPECTROSCOPY ANALYSIS OF NANOPARTICLES SEPTEMBER 2012, V 1.1 4878 RONSON CT STE K SAN DIEGO, CA 92111 858-565 - 4227 NANOCOMPOSIX.COM Note to the Reader: We at nanocomposix have published this

More information

AS COMPETITION PAPER 2008

AS COMPETITION PAPER 2008 AS COMPETITION PAPER 28 Name School Town & County Total Mark/5 Time Allowed: One hour Attempt as many questions as you can. Write your answers on this question paper. Marks allocated for each question

More information

Hello and Welcome to this presentation on LED Basics. In this presentation we will look at a few topics in semiconductor lighting such as light

Hello and Welcome to this presentation on LED Basics. In this presentation we will look at a few topics in semiconductor lighting such as light Hello and Welcome to this presentation on LED Basics. In this presentation we will look at a few topics in semiconductor lighting such as light generation from a semiconductor material, LED chip technology,

More information

CREOL, College of Optics & Photonics, University of Central Florida

CREOL, College of Optics & Photonics, University of Central Florida OSE6650 - Optical Properties of Nanostructured Materials Optical Properties of Nanostructured Materials Fall 2013 Class 3 slide 1 Challenge: excite and detect the near field Thus far: Nanostructured materials

More information

Surface plasmon nanophotonics: optics below the diffraction limit

Surface plasmon nanophotonics: optics below the diffraction limit Surface plasmon nanophotonics: optics below the diffraction limit Albert Polman Center for nanophotonics FOM-Institute AMOLF, Amsterdam Jeroen Kalkman Hans Mertens Joan Penninkhof Rene de Waele Teun van

More information

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer Sören Irmer Workshop "Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen - Mühlleithen, 02. März 2004 Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer

More information

Photolithography. Source: Dr. R. B. Darling (UW) lecture notes on photolithography

Photolithography. Source: Dr. R. B. Darling (UW) lecture notes on photolithography Photolithography Source: Dr. R. B. Darling (UW) lecture notes on photolithography Why Lithography? Simple layers of thin films do not make a device. To create a device such as a transistor, layers of thin

More information

Scanning Near-Field Optical Microscopy for Measuring Materials Properties at the Nanoscale

Scanning Near-Field Optical Microscopy for Measuring Materials Properties at the Nanoscale Scanning Near-Field Optical Microscopy for Measuring Materials Properties at the Nanoscale Outline Background Research Design Detection of Near-Field Signal Submonolayer Chemical Sensitivity Conclusions

More information

BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM DOT PHOTODETECTORS BY SUB-WAVELENGTH SURFACE GRATINGS

BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM DOT PHOTODETECTORS BY SUB-WAVELENGTH SURFACE GRATINGS Optics and Photonics Letters Vol. 6, No. 1 (2013) 1350002 (6 pages) c World Scientific Publishing Company DOI: 10.1142/S1793528813500020 BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM

More information

Near-field optics and plasmonics

Near-field optics and plasmonics Near-field optics and plasmonics Manuel Rodrigues Gonçalves AFM topography 10 Pol. y / (µm) 8 6 4 2 0 0 2 4 6 x / (µm) 8 10 nm 60 80 100 120 140 Physik M. Sc. Master Advanced Materials Winter semester

More information

Focused Ion beam nanopatterning: potential application in photovoltaics

Focused Ion beam nanopatterning: potential application in photovoltaics Focused Ion beam nanopatterning: potential application in photovoltaics Research Infrastructure: Location: FIB-Focused Ion Beam ENEA Portici (Italy) Date March, 26 2013 Speakers: Vera La Ferrara, ENEA

More information

Basic principles and mechanisms of NSOM; Different scanning modes and systems of NSOM; General applications and advantages of NSOM.

Basic principles and mechanisms of NSOM; Different scanning modes and systems of NSOM; General applications and advantages of NSOM. Lecture 16: Near-field Scanning Optical Microscopy (NSOM) Background of NSOM; Basic principles and mechanisms of NSOM; Basic components of a NSOM; Different scanning modes and systems of NSOM; General

More information

Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM.

Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM. Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM. Some limitations (disadvantages) of NSOM A trade-off

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 8: Microscopy and Imaging

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 8: Microscopy and Imaging CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 8: Microscopy and Imaging Data Acquisition for RE Microscopy Imaging Registration and stitching Microscopy Optical Electron Scanning Transmission Scanning

More information

Techniques for removal of contamination from EUVL mask without surface damage

Techniques for removal of contamination from EUVL mask without surface damage Techniques for removal of contamination from EUVL mask without surface damage Sherjang Singh a*, Ssuwei Chen a, Tobias Wähler b, Rik Jonckheere c Ted Liang d, Robert J. Chen d, Uwe Dietze a a HamaTech

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

Supporting Information

Supporting Information Supporting Information Simple and Rapid Synthesis of Ultrathin Gold Nanowires, Their Self-Assembly and Application in Surface-Enhanced Raman Scattering Huajun Feng, a Yanmei Yang, a Yumeng You, b Gongping

More information

The Basics of Scanning Electron Microscopy

The Basics of Scanning Electron Microscopy The Basics of Scanning Electron Microscopy The small scanning electron microscope is easy to use because almost every variable is pre-set: the acceleration voltage is always 15kV, it has only a single

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Raman Spectroscopy Basics

Raman Spectroscopy Basics Raman Spectroscopy Basics Introduction Raman spectroscopy is a spectroscopic technique based on inelastic scattering of monochromatic light, usually from a laser source. Inelastic scattering means that

More information

PHYSICAL METHODS, INSTRUMENTS AND MEASUREMENTS Vol. IV Femtosecond Measurements Combined With Near-Field Optical Microscopy - Artyom A.

PHYSICAL METHODS, INSTRUMENTS AND MEASUREMENTS Vol. IV Femtosecond Measurements Combined With Near-Field Optical Microscopy - Artyom A. FEMTOSECOND MEASUREMENTS COMBINED WITH NEAR FIELD OPTICAL MICROSCOPY Artyom A. Astafiev, Semyonov Institute of Chemical Physics, Moscow, Russian Federation. Keywords: diffraction limit nearfield scanning

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

How To Understand Light And Color

How To Understand Light And Color PRACTICE EXAM IV P202 SPRING 2004 1. In two separate double slit experiments, an interference pattern is observed on a screen. In the first experiment, violet light (λ = 754 nm) is used and a second-order

More information