Programmable Logic Devices Verilog Design Examples CMPE 415

Size: px
Start display at page:

Download "Programmable Logic Devices Verilog Design Examples CMPE 415"

Transcription

1 Buildig Blocks Digital systems cosist of 2 mai parts: the datapath ad cotrol circuits. Datapath: stores ad maipulates data ad icludes compoets such as registers, shift registers, couters, multiplexers, decoders, adders, etc. Cotrol: a FSM that cotrols the datapath elemets. We ve talked about may datapath buildig blocks -- we start here by discussig a few more that are useful i digital system desig. i Clk eable D Q out module rege(i, Clk, reset, eable, Q); parameter = 8; iput [-:] i; iput Clk, reset, eable; output reg [-] Q; Clk or egedge Reset) if (reset == ) Q <= ; else if (eable) Q <= i; module The code describes D FFs with a asychroous reset ad a eable iputs. The eable iput allows selective loadig of the FFs. (/6/7)

2 Buildig Blocks Left shift register with parallel load ad eable iputs. par_load eable i i w D Q D Q Clk Clk out out module shiftle(i, par_load, eable, w, Clk, Q); parameter = 4; iput [-:] i; iput par_load, eable, w, Clk; output reg [-] Q; iteger k; 2 (/6/7)

3 Buildig Blocks Clk) begi if (par_load) Q <= i; else if (eable) begi Q[] <= w; // o-blockig -- all RHS sampled FIRST. for (k = ; k < ; k = k+) Q[k] <= Q[k-]; module SRAM: select data data 3 (/6/7)

4 Bit Coutig Cout the umber of bits i a register that have the value. reset B = ; while A /= do S if a = the B <- B = B + ; Load A if Right-shift A; s while; S2 shift right A s S3 doe B <- B + Whe S2 is etered, A is NOT shifted util the followig clock cycle -- we will set a eable sigal to allow this. A == a While s ==, exteral operatios are loadig register A 4 (/6/7)

5 Bit Coutig module bitcout (Clk, reset, load_a, A_ready, data, ct, doe); iput Clk, reset, load_a, A_ready; iput [7:] data; output reg [3:] B; output reg doe; wire [7:] A; wire A_zero; reg [:] cur_state, ext_state; reg e_shift, ic_b, iit_b; parameter S = 2 b, S2 = 2 b, S3 = 2 b; cur_state, A_zero) begi: State_table case (cur_state) S: if (!A_ready) ext_state = S; else ext_state = S2; S2: if (A_zero == ) ext_state = S2; else ext_state = S3; S3: if (A_ready) ext_state = S3; else ext_state = S; case default: ext_state = 2 bxx; // Next state logic 5 (/6/7)

6 Bit Coutig Clk or egedge reset) begi: State_flipflops if (reset == ) cur_state <= S; else cur_state <= ext_state; or A[]) begi: FSM_outputs e_shift = ; ic_b = ; iit_b = ; doe = ; case (y) S: iit_b = ; S2: begi e_shift = ; if (A[]) ic_b = ; else ic_b = ; S3: doe = ; case // Sequetial logic // Combo logic for output sigals // Eablig the shift cotrol sigal // is asserted o eterig state S2 -- // so it s ot available util ext Clk Same is true for ic_b sigal -- they are ot set/uset util state S2 is active so actios take by assertig sigals i this state do t take place util ext Clk. 6 (/6/7)

7 Bit Coutig Clk or egedge reset) if (reset) B <= ; else if (iit_b) B <= ; else if (ic_b) B <= B + ; // Seq. logic for cter B shiftre shift_a(data, load_a, e_shift, b, Clk, A) assig A_zero = ~ A; module // RIGHT shifter Note that reset is NOT used to iitialize B after the iitial reset of the machie durig power up. A separate sigal iit_b is used to do this whe the FSM trasitios from state S3 to S. 7 (/6/7)

8 The example illustrates divisio usig a the traditioal log-had approach B Q A R 2-bit shift register with R cocat with A R = ; for i = to - do left-shift R A; if R >= B the q i = ; R = R - B; else q i = ; if; for; Pseudo-code illustrates operatio where A is left shifted, oe bit at a time, ito R ad the R (ot R A) is compared with B. Q is computed by left shiftig a or ito the least sigificat digit based o the compariso of R with B, i.e., if R >= B, shift a, else a. The remaider, R, is what remais after clks. 8 (/6/7)

9 We use a left-shift register with parallel load for R to hadle two cases. If R becomes greater tha B (remember, A is shifted ito R oe bit at a time), the the ew value of R is R - B. If R is less tha B, tha we shift the MSB bit of A ito R. I either case, the R iputs to the subtractor must be drive with the low order - bits of the register R cocateated with the MSB of A. R iputs of adder = R[-2:] MSB(A) However, R itself is R[-:] whe the divisio is completed. To accomplish this, we keep the MSB(A) i a separate -bit register: - R MSB(A) c out + c i 9 (/6/7)

10 Register A is used to store the quotiet by left shiftig as A is shifted out: Clk cycle R rr A/Q Load A, B Shift left Shift left, Q <- 2 Shift left, Q <- 3 Shift left, Q <- 4 Shift left, Q <- 5 Subtract, Q <- 6 Subtract, Q <- 7 Subtract, Q <- 8 Subtract, Q <- At clk cycle, A s MSB is left shifted ito rr, yieldig R rr =, which is smaller tha B (). At clk cycle, rr is left shifted ito R while A s MSB moves ito rr. Also, a is shifted ito the LSB of A to idicate a i the quotiet. At clk cycle 4, R rr =, which is > B, so i clk cycle 5, the result of subtractio _ - = _ is loaded ito R. (/6/7)

11 s S3 doe load_r Also load A&B reset S Rmux = load_ct e_shift_r s e_shift_a, rr mux S2 e_shift_r, rr mux e_shift_a, Rmux c out load_r e_ct ct ==? (/6/7)

12 Hardware requiremets: Register for B. Two shift registers for A ad R. A subtractor for R-B (implemeted as a adder with carry = ad B complemeted). The c out of this module is if R >= B. c out coected to the serial iput of the shift reg that stores Q. A multiplexer feedig the iput to R because it is loaded with i state S ad from the output of the adder i S3. A dow couter to implemet ct. A NOR gate to determie whe C ==. 2 (/6/7)

13 load_a DataA load_b DataB e_shift_a Clk L E Left-shift reg w Clk E reg if c out == load_r = - load_r e_shift_r Clk c out + Rmux r r L E c i Left-shift reg R w missig: cter, NOR gate Clk rr Q D rr mux q - Q 3 (/6/7)

14 module divider (Clk, reset, s, load_a, load_b, DataA, DataB, R, Q, doe); parameter = 8, log = 3; iput Clk, reset, s, load_a, load_b; iput [-:] DataA, DataB; output [-:] R, Q; output reg doe; wire Cout, ct_zero, rr; wire [-:] DataR; wire [:] Sum; reg [:] cur_state, ext_state; wire [-:] A, B; wire [log-:] ct; reg e_shift_a, Rmux, load_r, e_shift_r, rrmux, load_ct, e_ct; parameter S = 2 b, S2 = 2 b, S3 = 2 b; 4 (/6/7)

15 cur_state, ct_zero) begi: State_table case (cur_state) S: if (s == ) ext_state = S; else ext_state = S2; S2: if (ct_zero == ) ext_state = S2; else ext_state = S3; S3: if (s == ) ext_state = S3; else ext_state = S; case default: ext_state = 2 bxx; Clk or egedge reset) begi: State_flipflops if (reset == ) cur_state <= S; else cur_state <= ext_state; // Next state logic // Sequetial logic 5 (/6/7)

16 or s or Cout or ct_zero) // Combo logic for begi: FSM_outputs // output sigals load_r = ; e_shift_r = ; rrmux = ; load_ct = ; e_ct = ; e_shift_a = ; case (y) S: begi load_ct = ; e_shift_r = ; if (s == ) begi load_r = ; rrmux = ; else begi load_r = ; e_shift_a =, rrmux = ; S2: begi Rmux = ; e_shift_r = ; rrmux = ; e_shift_a = ; if (Cout) load_r = ; else load_r = ; if (ct_zero == ) e_ct = ; S3: doe = ; case else e_ct = ; 6 (/6/7)

17 // Datapath rege RegB(DataB, Clk, reset, e_shift_b, B); defparam RegB. = ; shiftle ShiftR(DataR, load_r, e_shift_r, rr, Clk, R); defparam ShiftR. = ; muxdff FF_rr( b, A[-], rrmux, Clk, rr); shiftle ShiftA(DataA, load_a, e_shift_a, Cout, Clk, A); defparam ShiftA. = ; // Shift reg R // Sigle bit // Shift reg A assig Q = A; dowcout Cter(Clk, e_ct, load_ct, Cout); defparam Cter. = log; assig ct_zero = (Cout == ); assig Sum = { b, R[-2:], rr} + { b, ~B} + ; assig Cout = Sum[]; assig DataR = Rmux? Sum : ; module // Output of A is Q oce calc performed // Couter // Adder is + // bits to save // Cout // 2-to- MUXs 7 (/6/7)

Multiplexers and Demultiplexers

Multiplexers and Demultiplexers I this lesso, you will lear about: Multiplexers ad Demultiplexers 1. Multiplexers 2. Combiatioal circuit implemetatio with multiplexers 3. Demultiplexers 4. Some examples Multiplexer A Multiplexer (see

More information

Chapter 10 Computer Design Basics

Chapter 10 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 10 Computer Desig Basics Part 1 Datapaths Charles Kime & Thomas Kamiski 2004 Pearso Educatio, Ic. Terms of Use (Hyperliks are active i View Show mode) Overview

More information

Running Time ( 3.1) Analysis of Algorithms. Experimental Studies ( 3.1.1) Limitations of Experiments. Pseudocode ( 3.1.2) Theoretical Analysis

Running Time ( 3.1) Analysis of Algorithms. Experimental Studies ( 3.1.1) Limitations of Experiments. Pseudocode ( 3.1.2) Theoretical Analysis Ruig Time ( 3.) Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Most algorithms trasform iput objects ito output objects.

More information

ECE 451 Verilog Exercises. Sept 14, 2007. James Barnes (James.Barnes@colostate.edu)

ECE 451 Verilog Exercises. Sept 14, 2007. James Barnes (James.Barnes@colostate.edu) ECE 451 Verilog Exercises Sept 14, 2007 James Barnes (James.Barnes@colostate.edu) Organization These slides give a series of self-paced exercises. Read the specification of each exercise and write your

More information

More Verilog. 8-bit Register with Synchronous Reset. Shift Register Example. N-bit Register with Asynchronous Reset.

More Verilog. 8-bit Register with Synchronous Reset. Shift Register Example. N-bit Register with Asynchronous Reset. More Verilog 8-bit Register with Synchronous Reset module reg8 (reset, CLK, D, Q); input reset; input [7:0] D; output [7:0] Q; reg [7:0] Q; if (reset) Q = 0; else Q = D; module // reg8 Verilog - 1 Verilog

More information

THE ARITHMETIC OF INTEGERS. - multiplication, exponentiation, division, addition, and subtraction

THE ARITHMETIC OF INTEGERS. - multiplication, exponentiation, division, addition, and subtraction THE ARITHMETIC OF INTEGERS - multiplicatio, expoetiatio, divisio, additio, ad subtractio What to do ad what ot to do. THE INTEGERS Recall that a iteger is oe of the whole umbers, which may be either positive,

More information

CHAPTER 3 DIGITAL CODING OF SIGNALS

CHAPTER 3 DIGITAL CODING OF SIGNALS CHAPTER 3 DIGITAL CODING OF SIGNALS Computers are ofte used to automate the recordig of measuremets. The trasducers ad sigal coditioig circuits produce a voltage sigal that is proportioal to a quatity

More information

Baan Service Master Data Management

Baan Service Master Data Management Baa Service Master Data Maagemet Module Procedure UP069A US Documetiformatio Documet Documet code : UP069A US Documet group : User Documetatio Documet title : Master Data Maagemet Applicatio/Package :

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

CS100: Introduction to Computer Science

CS100: Introduction to Computer Science Review: History of Computers CS100: Itroductio to Computer Sciece Maiframes Miicomputers Lecture 2: Data Storage -- Bits, their storage ad mai memory Persoal Computers & Workstatios Review: The Role of

More information

Computer organization

Computer organization Computer organization Computer design an application of digital logic design procedures Computer = processing unit + memory system Processing unit = control + datapath Control = finite state machine inputs

More information

Mathematical goals. Starting points. Materials required. Time needed

Mathematical goals. Starting points. Materials required. Time needed Level A1 of challege: C A1 Mathematical goals Startig poits Materials required Time eeded Iterpretig algebraic expressios To help learers to: traslate betwee words, symbols, tables, ad area represetatios

More information

Engineering Data Management

Engineering Data Management BaaERP 5.0c Maufacturig Egieerig Data Maagemet Module Procedure UP128A US Documetiformatio Documet Documet code : UP128A US Documet group : User Documetatio Documet title : Egieerig Data Maagemet Applicatio/Package

More information

*The most important feature of MRP as compared with ordinary inventory control analysis is its time phasing feature.

*The most important feature of MRP as compared with ordinary inventory control analysis is its time phasing feature. Itegrated Productio ad Ivetory Cotrol System MRP ad MRP II Framework of Maufacturig System Ivetory cotrol, productio schedulig, capacity plaig ad fiacial ad busiess decisios i a productio system are iterrelated.

More information

COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS COMBINATIONAL CIRCUITS http://www.tutorialspoint.com/computer_logical_organization/combinational_circuits.htm Copyright tutorialspoint.com Combinational circuit is a circuit in which we combine the different

More information

Domain 1: Designing a SQL Server Instance and a Database Solution

Domain 1: Designing a SQL Server Instance and a Database Solution Maual SQL Server 2008 Desig, Optimize ad Maitai (70-450) 1-800-418-6789 Domai 1: Desigig a SQL Server Istace ad a Database Solutio Desigig for CPU, Memory ad Storage Capacity Requiremets Whe desigig a

More information

Unicenter TCPaccess FTP Server

Unicenter TCPaccess FTP Server Uiceter TCPaccess FTP Server Release Summary r6.1 SP2 K02213-2E This documetatio ad related computer software program (hereiafter referred to as the Documetatio ) is for the ed user s iformatioal purposes

More information

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language Chapter 4 Register Transfer and Microoperations Section 4.1 Register Transfer Language Digital systems are composed of modules that are constructed from digital components, such as registers, decoders,

More information

CS103X: Discrete Structures Homework 4 Solutions

CS103X: Discrete Structures Homework 4 Solutions CS103X: Discrete Structures Homewor 4 Solutios Due February 22, 2008 Exercise 1 10 poits. Silico Valley questios: a How may possible six-figure salaries i whole dollar amouts are there that cotai at least

More information

SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES

SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES Read Sectio 1.5 (pages 5 9) Overview I Sectio 1.5 we lear to work with summatio otatio ad formulas. We will also itroduce a brief overview of sequeces,

More information

Routine for 8-Bit Binary to BCD Conversion

Routine for 8-Bit Binary to BCD Conversion Algorithm - Fast ad Compact Usiged Biary to BCD Coversio Applicatio Note Abstract AN2338 Author: Eugee Miyushkovich, Ryshtu Adrij Associated Project: Yes Associated Part Family: CY8C24x23A, CY8C24x94,

More information

Chapter 5 Unit 1. IET 350 Engineering Economics. Learning Objectives Chapter 5. Learning Objectives Unit 1. Annual Amount and Gradient Functions

Chapter 5 Unit 1. IET 350 Engineering Economics. Learning Objectives Chapter 5. Learning Objectives Unit 1. Annual Amount and Gradient Functions Chapter 5 Uit Aual Amout ad Gradiet Fuctios IET 350 Egieerig Ecoomics Learig Objectives Chapter 5 Upo completio of this chapter you should uderstad: Calculatig future values from aual amouts. Calculatig

More information

2-3 The Remainder and Factor Theorems

2-3 The Remainder and Factor Theorems - The Remaider ad Factor Theorems Factor each polyomial completely usig the give factor ad log divisio 1 x + x x 60; x + So, x + x x 60 = (x + )(x x 15) Factorig the quadratic expressio yields x + x x

More information

In order to print in ESC/P mode, the serial connection should be activated.

In order to print in ESC/P mode, the serial connection should be activated. Appedix: Serial Port Settigs Serial Port Settigs (RS-232C) The pi assigmets for the serial cables (RS-232C cables) which ca be used with this priter are show i the table below. You ca purchase the cables

More information

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. http://www.ecs.umass.edu/ece/ece232/ Basic Verilog

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. http://www.ecs.umass.edu/ece/ece232/ Basic Verilog ECE232: Hardware Organization and Design Part 3: Verilog Tutorial http://www.ecs.umass.edu/ece/ece232/ Basic Verilog module ();

More information

Shared Memory with Caching

Shared Memory with Caching Vorlesug Recherarchitektur 2 Seite 164 Cachig i MIMD-Architectures ] MIMD-Architekture Programmiermodell Behadlug der Kommuikatioslatez Nachrichteorietiert globaler Adressraum Latez miimiere Latez verstecke

More information

Let s put together a Manual Processor

Let s put together a Manual Processor Lecture 14 Let s put together a Manual Processor Hardware Lecture 14 Slide 1 The processor Inside every computer there is at least one processor which can take an instruction, some operands and produce

More information

Example 2 Find the square root of 0. The only square root of 0 is 0 (since 0 is not positive or negative, so those choices don t exist here).

Example 2 Find the square root of 0. The only square root of 0 is 0 (since 0 is not positive or negative, so those choices don t exist here). BEGINNING ALGEBRA Roots ad Radicals (revised summer, 00 Olso) Packet to Supplemet the Curret Textbook - Part Review of Square Roots & Irratioals (This portio ca be ay time before Part ad should mostly

More information

Soving Recurrence Relations

Soving Recurrence Relations Sovig Recurrece Relatios Part 1. Homogeeous liear 2d degree relatios with costat coefficiets. Cosider the recurrece relatio ( ) T () + at ( 1) + bt ( 2) = 0 This is called a homogeeous liear 2d degree

More information

Registers & Counters

Registers & Counters Objectives This section deals with some simple and useful sequential circuits. Its objectives are to: Introduce registers as multi-bit storage devices. Introduce counters by adding logic to registers implementing

More information

Your organization has a Class B IP address of 166.144.0.0 Before you implement subnetting, the Network ID and Host ID are divided as follows:

Your organization has a Class B IP address of 166.144.0.0 Before you implement subnetting, the Network ID and Host ID are divided as follows: Subettig Subettig is used to subdivide a sigle class of etwork i to multiple smaller etworks. Example: Your orgaizatio has a Class B IP address of 166.144.0.0 Before you implemet subettig, the Network

More information

CHAPTER 3 THE TIME VALUE OF MONEY

CHAPTER 3 THE TIME VALUE OF MONEY CHAPTER 3 THE TIME VALUE OF MONEY OVERVIEW A dollar i the had today is worth more tha a dollar to be received i the future because, if you had it ow, you could ivest that dollar ad ear iterest. Of all

More information

Automatic Tuning for FOREX Trading System Using Fuzzy Time Series

Automatic Tuning for FOREX Trading System Using Fuzzy Time Series utomatic Tuig for FOREX Tradig System Usig Fuzzy Time Series Kraimo Maeesilp ad Pitihate Soorasa bstract Efficiecy of the automatic currecy tradig system is time depedet due to usig fixed parameters which

More information

E-Plex Enterprise Access Control System

E-Plex Enterprise Access Control System Eterprise Access Cotrol System Egieered for Flexibility Modular Solutio The Eterprise Access Cotrol System is a modular solutio for maagig access poits. Employig a variety of hardware optios, system maagemet

More information

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1 WEEK 8.1 egisters and Counters ECE124 igital Circuits and Systems Page 1 Additional schematic FF symbols Active low set and reset signals. S Active high set and reset signals. S ECE124 igital Circuits

More information

INVESTMENT PERFORMANCE COUNCIL (IPC) Guidance Statement on Calculation Methodology

INVESTMENT PERFORMANCE COUNCIL (IPC) Guidance Statement on Calculation Methodology Adoptio Date: 4 March 2004 Effective Date: 1 Jue 2004 Retroactive Applicatio: No Public Commet Period: Aug Nov 2002 INVESTMENT PERFORMANCE COUNCIL (IPC) Preface Guidace Statemet o Calculatio Methodology

More information

COACHING EDUCATION PROGRAM REQUIREMENTS

COACHING EDUCATION PROGRAM REQUIREMENTS COACHING EDUCATION PROGRAM REQUIREMENTS A. COACH REGISTRATION All ice hockey coaches as well as istructors of USA Hockey programs shall be registered for the curret seaso (before the start of the seaso)

More information

Detecting Voice Mail Fraud. Detecting Voice Mail Fraud - 1

Detecting Voice Mail Fraud. Detecting Voice Mail Fraud - 1 Detectig Voice Mail Fraud Detectig Voice Mail Fraud - 1 Issue 2 Detectig Voice Mail Fraud Detectig Voice Mail Fraud Several reportig mechaisms ca assist you i determiig voice mail fraud. Call Detail Recordig

More information

A Guide to the Pricing Conventions of SFE Interest Rate Products

A Guide to the Pricing Conventions of SFE Interest Rate Products A Guide to the Pricig Covetios of SFE Iterest Rate Products SFE 30 Day Iterbak Cash Rate Futures Physical 90 Day Bak Bills SFE 90 Day Bak Bill Futures SFE 90 Day Bak Bill Futures Tick Value Calculatios

More information

! encor e networks TM

! encor e networks TM ! ecor e etworks TM Copyright 2003 Ecore Networks, Ic. All rights reserved. SigalPath 201 (SP201 ) Istallatio Guide Versio C, July 2004 Part Number 15469.1000 SigalPath Software Versio 1100 This Istallatio

More information

CS100: Introduction to Computer Science

CS100: Introduction to Computer Science I-class Exercise: CS100: Itroductio to Computer Sciece What is a flip-flop? What are the properties of flip-flops? Draw a simple flip-flop circuit? Lecture 3: Data Storage -- Mass storage & represetig

More information

Authentication - Access Control Default Security Active Directory Trusted Authentication Guest User or Anonymous (un-authenticated) Logging Out

Authentication - Access Control Default Security Active Directory Trusted Authentication Guest User or Anonymous (un-authenticated) Logging Out FME Server Security Table of Cotets FME Server Autheticatio - Access Cotrol Default Security Active Directory Trusted Autheticatio Guest User or Aoymous (u-autheticated) Loggig Out Authorizatio - Roles

More information

BINARY CODED DECIMAL: B.C.D.

BINARY CODED DECIMAL: B.C.D. BINARY CODED DECIMAL: B.C.D. ANOTHER METHOD TO REPRESENT DECIMAL NUMBERS USEFUL BECAUSE MANY DIGITAL DEVICES PROCESS + DISPLAY NUMBERS IN TENS IN BCD EACH NUMBER IS DEFINED BY A BINARY CODE OF 4 BITS.

More information

Now here is the important step

Now here is the important step LINEST i Excel The Excel spreadsheet fuctio "liest" is a complete liear least squares curve fittig routie that produces ucertaity estimates for the fit values. There are two ways to access the "liest"

More information

Pre-Installation Network Planning Forms--S8700 Media Server

Pre-Installation Network Planning Forms--S8700 Media Server Pre-Istallatio Network Plaig Forms--S8700 Media Server S8700 Media Server with a Avaya G600 Media Gateways S8700 Media Server with Avaya MCC1/SCC1 Gateways Before you istall ad cofigure a Avaya media server,

More information

Modified Line Search Method for Global Optimization

Modified Line Search Method for Global Optimization Modified Lie Search Method for Global Optimizatio Cria Grosa ad Ajith Abraham Ceter of Excellece for Quatifiable Quality of Service Norwegia Uiversity of Sciece ad Techology Trodheim, Norway {cria, ajith}@q2s.tu.o

More information

Lesson 15 ANOVA (analysis of variance)

Lesson 15 ANOVA (analysis of variance) Outlie Variability -betwee group variability -withi group variability -total variability -F-ratio Computatio -sums of squares (betwee/withi/total -degrees of freedom (betwee/withi/total -mea square (betwee/withi

More information

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17 Life Cycle of a Memory Request (1) Use AQR or AQW to place address in AQ (2) If A[31]==0, check for hit in DCache Ring (3) Read Hit: place cache word in RQ; Write Hit: replace cache word with WQ RDDest/RDreturn

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Math 114- Intermediate Algebra Integral Exponents & Fractional Exponents (10 )

Math 114- Intermediate Algebra Integral Exponents & Fractional Exponents (10 ) Math 4 Math 4- Itermediate Algebra Itegral Epoets & Fractioal Epoets (0 ) Epoetial Fuctios Epoetial Fuctios ad Graphs I. Epoetial Fuctios The fuctio f ( ) a, where is a real umber, a 0, ad a, is called

More information

iprox sensors iprox inductive sensors iprox programming tools ProxView programming software iprox the world s most versatile proximity sensor

iprox sensors iprox inductive sensors iprox programming tools ProxView programming software iprox the world s most versatile proximity sensor iprox sesors iprox iductive sesors iprox programmig tools ProxView programmig software iprox the world s most versatile proximity sesor The world s most versatile proximity sesor Eato s iproxe is syoymous

More information

Repeating Decimals are decimal numbers that have number(s) after the decimal point that repeat in a pattern.

Repeating Decimals are decimal numbers that have number(s) after the decimal point that repeat in a pattern. 5.5 Fractios ad Decimals Steps for Chagig a Fractio to a Decimal. Simplify the fractio, if possible. 2. Divide the umerator by the deomiator. d d Repeatig Decimals Repeatig Decimals are decimal umbers

More information

Review: Classification Outline

Review: Classification Outline Data Miig CS 341, Sprig 2007 Decisio Trees Neural etworks Review: Lecture 6: Classificatio issues, regressio, bayesia classificatio Pretice Hall 2 Data Miig Core Techiques Classificatio Clusterig Associatio

More information

I. Why is there a time value to money (TVM)?

I. Why is there a time value to money (TVM)? Itroductio to the Time Value of Moey Lecture Outlie I. Why is there the cocept of time value? II. Sigle cash flows over multiple periods III. Groups of cash flows IV. Warigs o doig time value calculatios

More information

Modeling Registers and Counters

Modeling Registers and Counters Lab Workbook Introduction When several flip-flops are grouped together, with a common clock, to hold related information the resulting circuit is called a register. Just like flip-flops, registers may

More information

Domain 1 - Describe Cisco VoIP Implementations

Domain 1 - Describe Cisco VoIP Implementations Maual ONT (642-8) 1-800-418-6789 Domai 1 - Describe Cisco VoIP Implemetatios Advatages of VoIP Over Traditioal Switches Voice over IP etworks have may advatages over traditioal circuit switched voice etworks.

More information

Understanding Logic Design

Understanding Logic Design Understanding Logic Design ppendix of your Textbook does not have the needed background information. This document supplements it. When you write add DD R0, R1, R2, you imagine something like this: R1

More information

Handling. Collection Calls

Handling. Collection Calls Hadlig the Collectio Calls We do everythig we ca to stop collectio calls; however, i the early part of our represetatio, you ca expect some of these calls to cotiue. We uderstad that the first few moths

More information

1. MATHEMATICAL INDUCTION

1. MATHEMATICAL INDUCTION 1. MATHEMATICAL INDUCTION EXAMPLE 1: Prove that for ay iteger 1. Proof: 1 + 2 + 3 +... + ( + 1 2 (1.1 STEP 1: For 1 (1.1 is true, sice 1 1(1 + 1. 2 STEP 2: Suppose (1.1 is true for some k 1, that is 1

More information

Trigonometric Form of a Complex Number. The Complex Plane. axis. ( 2, 1) or 2 i FIGURE 6.44. The absolute value of the complex number z a bi is

Trigonometric Form of a Complex Number. The Complex Plane. axis. ( 2, 1) or 2 i FIGURE 6.44. The absolute value of the complex number z a bi is 0_0605.qxd /5/05 0:45 AM Page 470 470 Chapter 6 Additioal Topics i Trigoometry 6.5 Trigoometric Form of a Complex Number What you should lear Plot complex umbers i the complex plae ad fid absolute values

More information

FM4 CREDIT AND BORROWING

FM4 CREDIT AND BORROWING FM4 CREDIT AND BORROWING Whe you purchase big ticket items such as cars, boats, televisios ad the like, retailers ad fiacial istitutios have various terms ad coditios that are implemeted for the cosumer

More information

FPGA 96 -- ACM/SIGDA Fourth International Symposium on FPGAs February 11-13, 1996, Monterey, CA

FPGA 96 -- ACM/SIGDA Fourth International Symposium on FPGAs February 11-13, 1996, Monterey, CA FPGA 96 -- ACM/SIGDA Fourth Iteratioal Symposium o FPGAs February 11-13, 1996, Moterey, CA Etropy, Coutig, ad Programmable Itercoect AdréDeHo adre@mit.edu MIT Artificial Itelligece Laboratory NE43-791,

More information

Cooley-Tukey. Tukey FFT Algorithms. FFT Algorithms. Cooley

Cooley-Tukey. Tukey FFT Algorithms. FFT Algorithms. Cooley Cooley Cooley-Tuey Tuey FFT Algorithms FFT Algorithms Cosider a legth- sequece x[ with a -poit DFT X[ where Represet the idices ad as +, +, Cooley Cooley-Tuey Tuey FFT Algorithms FFT Algorithms Usig these

More information

Definition. A variable X that takes on values X 1, X 2, X 3,...X k with respective frequencies f 1, f 2, f 3,...f k has mean

Definition. A variable X that takes on values X 1, X 2, X 3,...X k with respective frequencies f 1, f 2, f 3,...f k has mean 1 Social Studies 201 October 13, 2004 Note: The examples i these otes may be differet tha used i class. However, the examples are similar ad the methods used are idetical to what was preseted i class.

More information

An Integer Square Root Algorithm

An Integer Square Root Algorithm An Integer Square Root Algorithm 71 Example 24 An Integer Square Root Algorithm The C algorithm shown in Fig. 2.8 performs an integer square root of the input a as shown in Table 2.1. Note from Table 2.1

More information

16-bit ALU, Register File and Memory Write Interface

16-bit ALU, Register File and Memory Write Interface CS M152B Fall 2002 Project 2 16-bit ALU, Register File and Memory Write Interface Suggested Due Date: Monday, October 21, 2002 Actual Due Date determined by your Lab TA This project will take much longer

More information

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas Take-Home Exercise Assume you want the counter below to count mod-6 backward. That is, it would count 0-5-4-3-2-1-0, etc. Assume it is reset on startup, and design the wiring to make the counter count

More information

Sistemas Digitais I LESI - 2º ano

Sistemas Digitais I LESI - 2º ano Sistemas Digitais I LESI - 2º ano Lesson 6 - Combinational Design Practices Prof. João Miguel Fernandes (miguel@di.uminho.pt) Dept. Informática UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA - PLDs (1) - The

More information

Vulnerability test system for SIP network elements

Vulnerability test system for SIP network elements Vulerability test system for SIP etwork elemets Filip Rezac, Miroslav Vozak, Karel omala, Jiri Vychodil, Ja Rozho Departmet of elecommuicatios, VSB echical Uiversity of Ostrava Ostrava, Czech Republic

More information

earlier in the semester: The Full adder above adds two bits and the output is at the end. So if we do this eight times, we would have an 8-bit adder.

earlier in the semester: The Full adder above adds two bits and the output is at the end. So if we do this eight times, we would have an 8-bit adder. The circuit created is an 8-bit adder. The 8-bit adder adds two 8-bit binary inputs and the result is produced in the output. In order to create a Full 8-bit adder, I could use eight Full -bit adders and

More information

Gates, Plexers, Decoders, Registers, Addition and Comparison

Gates, Plexers, Decoders, Registers, Addition and Comparison Introduction to Digital Logic Autumn 2008 Gates, Plexers, Decoders, Registers, Addition and Comparison karl.marklund@it.uu.se ...open up a command shell and type logisim and press enter to start Logisim.

More information

To c o m p e t e in t o d a y s r e t a i l e n v i r o n m e n t, y o u n e e d a s i n g l e,

To c o m p e t e in t o d a y s r e t a i l e n v i r o n m e n t, y o u n e e d a s i n g l e, Busiess Itelligece Software for Retail To c o m p e t e i t o d a y s r e t a i l e v i r o m e t, y o u e e d a s i g l e, comprehesive view of your busiess. You have to tur the decisio-makig of your

More information

INVESTMENT PERFORMANCE COUNCIL (IPC)

INVESTMENT PERFORMANCE COUNCIL (IPC) INVESTMENT PEFOMANCE COUNCIL (IPC) INVITATION TO COMMENT: Global Ivestmet Performace Stadards (GIPS ) Guidace Statemet o Calculatio Methodology The Associatio for Ivestmet Maagemet ad esearch (AIM) seeks

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

Enhancing Oracle Business Intelligence with cubus EV How users of Oracle BI on Essbase cubes can benefit from cubus outperform EV Analytics (cubus EV)

Enhancing Oracle Business Intelligence with cubus EV How users of Oracle BI on Essbase cubes can benefit from cubus outperform EV Analytics (cubus EV) Ehacig Oracle Busiess Itelligece with cubus EV How users of Oracle BI o Essbase cubes ca beefit from cubus outperform EV Aalytics (cubus EV) CONTENT 01 cubus EV as a ehacemet to Oracle BI o Essbase 02

More information

How To Solve The Homewor Problem Beautifully

How To Solve The Homewor Problem Beautifully Egieerig 33 eautiful Homewor et 3 of 7 Kuszmar roblem.5.5 large departmet store sells sport shirts i three sizes small, medium, ad large, three patters plaid, prit, ad stripe, ad two sleeve legths log

More information

Confidence Intervals for One Mean

Confidence Intervals for One Mean Chapter 420 Cofidece Itervals for Oe Mea Itroductio This routie calculates the sample size ecessary to achieve a specified distace from the mea to the cofidece limit(s) at a stated cofidece level for a

More information

FPGA Implementation of a Hybrid On-line Process Monitoring in PC Based Real-Time Systems*

FPGA Implementation of a Hybrid On-line Process Monitoring in PC Based Real-Time Systems* SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 8, No. 1, February 2011, 37-51 UDK: 004.383.3 FPGA Implementation of a Hybrid On-line Process Monitoring in PC Based Real-Time Systems* Bojan Jovanović 1,

More information

(VCP-310) 1-800-418-6789

(VCP-310) 1-800-418-6789 Maual VMware Lesso 1: Uderstadig the VMware Product Lie I this lesso, you will first lear what virtualizatio is. Next, you ll explore the products offered by VMware that provide virtualizatio services.

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: Computer Organization and Architecture Lecture 9 - Register Transfer and Microoperations Microoperations Digital systems are modular in nature, with modules containing registers, decoders, arithmetic

More information

In nite Sequences. Dr. Philippe B. Laval Kennesaw State University. October 9, 2008

In nite Sequences. Dr. Philippe B. Laval Kennesaw State University. October 9, 2008 I ite Sequeces Dr. Philippe B. Laval Keesaw State Uiversity October 9, 2008 Abstract This had out is a itroductio to i ite sequeces. mai de itios ad presets some elemetary results. It gives the I ite Sequeces

More information

Desktop Management. Desktop Management Tools

Desktop Management. Desktop Management Tools Desktop Maagemet 9 Desktop Maagemet Tools Mac OS X icludes three desktop maagemet tools that you might fid helpful to work more efficietly ad productively: u Stacks puts expadable folders i the Dock. Clickig

More information

Equalizer Installation and Administration Guide

Equalizer Installation and Administration Guide Equalizer Istallatio ad Admiistratio Guide Versio 7.2.3 Jauary 2007 Coyote Poit Systems, Ic. 675 North First Street Suite 975 Sa Jose, Califoria 95112 Copyright 1997-2007 Coyote Poit Systems, Ic. All Rights

More information

Supply Chain Management

Supply Chain Management Supply Chai Maagemet LOA Uiversity October 9, 205 Distributio D Distributio Authorized to Departmet of Defese ad U.S. DoD Cotractors Oly Aim High Fly - Fight - Wi Who am I? Dr. William A Cuigham PhD Ecoomics

More information

How To Design A High Throughput Anes Oprocessor

How To Design A High Throughput Anes Oprocessor Architectural Desig Features of a Programmable High Throughput AES oprocessor Alireza Hodjat, Patrick Schaumot, grid Verbauwhede Electrical Egieerig Departmet Uiversity of aliforia, Los Ageles {ahodjat,

More information

DEPARTMENT OF INFORMATION TECHNLOGY

DEPARTMENT OF INFORMATION TECHNLOGY DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF INFORMATION TECHNLOGY Lab Manual for Computer Organization Lab ECS-453

More information

Quality of Service for Workflows and Web Service Processes

Quality of Service for Workflows and Web Service Processes Joural of Web Sematics (accepted, to appear 2004), Elsevier. Quality of Service for Workflows ad Web Service Processes Jorge Cardoso 1, Amit Sheth 2, Joh Miller 2, Joatha Arold 3, ad Krys Kochut 2 1 Departameto

More information

List of Experiment. 8. To study and verify the BCD to Seven Segments DECODER.(IC-7447).

List of Experiment. 8. To study and verify the BCD to Seven Segments DECODER.(IC-7447). G. H. RAISONI COLLEGE OF ENGINEERING, NAGPUR Department of Electronics & Communication Engineering Branch:-4 th Semester[Electronics] Subject: - Digital Circuits List of Experiment Sr. Name Of Experiment

More information

Digital Design with VHDL

Digital Design with VHDL Digital Design with VHDL CSE 560M Lecture 5 Shakir James Shakir James 1 Plan for Today Announcement Commentary due Wednesday HW1 assigned today. Begin immediately! Questions VHDL help session Assignment

More information

Sequential Logic. (Materials taken from: Principles of Computer Hardware by Alan Clements )

Sequential Logic. (Materials taken from: Principles of Computer Hardware by Alan Clements ) Sequential Logic (Materials taken from: Principles of Computer Hardware by Alan Clements ) Sequential vs. Combinational Circuits Combinatorial circuits: their outputs are computed entirely from their present

More information

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.1 Objectives To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.2 Introduction Circuits for counting events are frequently used in computers and other digital

More information

Binary Adders: Half Adders and Full Adders

Binary Adders: Half Adders and Full Adders Binary Adders: Half Adders and Full Adders In this set of slides, we present the two basic types of adders: 1. Half adders, and 2. Full adders. Each type of adder functions to add two binary bits. In order

More information

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann Chapter 7 Registers & Register Transfers J. J. Shann J.J. Shann Chapter Overview 7- Registers and Load Enable 7-2 Register Transfers 7-3 Register Transfer Operations 7-4 A Note for VHDL and Verilog Users

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

Overview on S-Box Design Principles

Overview on S-Box Design Principles Overview o S-Box Desig Priciples Debdeep Mukhopadhyay Assistat Professor Departmet of Computer Sciece ad Egieerig Idia Istitute of Techology Kharagpur INDIA -721302 What is a S-Box? S-Boxes are Boolea

More information

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process) ECE 3401 Lecture 7 Concurrent Statements & Sequential Statements (Process) Concurrent Statements VHDL provides four different types of concurrent statements namely: Signal Assignment Statement Simple Assignment

More information

MANUFACTURING. Link your products directly to your ERP system in real-time

MANUFACTURING. Link your products directly to your ERP system in real-time MANUFACTURING Lik your products directly to your ERP system i real-time Exted ERP Exted ERP is a complete mobile solutio extedig your ERP system by brigig warehouse processes to the users o the floor

More information

.04. This means $1000 is multiplied by 1.02 five times, once for each of the remaining sixmonth

.04. This means $1000 is multiplied by 1.02 five times, once for each of the remaining sixmonth Questio 1: What is a ordiary auity? Let s look at a ordiary auity that is certai ad simple. By this, we mea a auity over a fixed term whose paymet period matches the iterest coversio period. Additioally,

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Dr. Greg Tumbush, gtumbush@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

CS 61C: Great Ideas in Computer Architecture Finite State Machines. Machine Interpreta4on

CS 61C: Great Ideas in Computer Architecture Finite State Machines. Machine Interpreta4on CS 61C: Great Ideas in Computer Architecture Finite State Machines Instructors: Krste Asanovic & Vladimir Stojanovic hbp://inst.eecs.berkeley.edu/~cs61c/sp15 1 Levels of RepresentaKon/ InterpretaKon High

More information