Femtosecond laser-induced formation of spikes on silicon

Size: px
Start display at page:

Download "Femtosecond laser-induced formation of spikes on silicon"

Transcription

1 Femtosecond laser-induced formation of spikes on silicon Tsing-Hua Her, * Richard J. Finlay, Claudia Wu, and Eric Mazur Division of Engineering and Applied Sciences and Department of Physics, Harvard University 9 Oxford St., Cambridge, MA (Electronic mail: mazur@physics.harvard.edu, Fax: 1-617/ , Tel: 1-617/ ) * Current address: Lawrence Berkeley National Laboratory, ms 2-300, 1 Cyclotron Rd., Berkeley, CA (Electronic mail: thher@lbl.gov, Fax: 1-5/ , Tel: 1-5/ ) Current address: Northern Telecom, PO Box 3511 Stn. C Ottawa, ON, K1Y 4H7 Canada 1

2 Abstract We find that silicon surfaces develop arrays of sharp conical spikes when irradiated with 500 femtosecond laser pulses in SF 6. The height of the spikes decreases with increasing pulse duration or decreasing laser fluence, and scales nonlinearly with the average separation between spikes. The spikes have the same crystallographic orientation as bulk silicon and always point along the incident direction of laser pulses. The base of the spikes has an asymmetric shape and its orientation is determined by the laser polarization. Our data suggest that both laser ablation and laser-induced chemical etching of silicon are involved in the formation of the spikes. PACS:61.80.B;79.20.D;82.65.J 2

3 Various micron-sized surface features have been observed on silicon surfaces after ion beam or pulsed laser irradiation. For example, mounds and columns are formed when silicon is sputtered by energetic Ar ions.[1,2] When irradiated by nanosecond laser pulses with fluence close to the melting threshold, silicon surfaces develop ripples with submicron periodicity.[3] Laser pulses with even higher fluence can induce laser ablation of silicon, leaving a crater on the surface surrounded by irregular cones protruding above the surface.[4] Previously we reported that silicon surfaces spontaneously develop arrays of conical spikes when repeatedly irradiated with high fluence femtosecond laser pulses in SF 6 or Cl 2.[5] Similar structures have recently been reported with nanosecond laser irradiation.[6] The spikes we observe have a high aspect ratio, a quasi-periodic spatial distribution, and are only formed in an atmosphere containing a halogen. Besides their scientific interest, the silicon spikes are of interest because of their potential applications as light absorbers[7] for solar cells and photodetectors, and as microneedles for transdermal drug delivery.[8] In this paper, we characterize the surface morphology and study the effect of laser fluence and pulse duration on the spikes. 1 Experimental We carried out our experiments on n-type (arsenic doped) Si(0) wafers with resistivity less than 5 5 Ωm. Each wafer is cleaned with trichloroethylene, rinsed in acetone, and then rinsed in methanol. The wafer is mounted on a three-axis translation stage in a vacuum chamber with a base pressure of less than 4 torr. During the experiments, the chamber is backfilled with 500-torr SF 6. The laser system, consisting of a Ti:Sapphire oscillator and a chirped-pulseregenerative amplifier, produces a 1-kHz train of 0-fs, 0.5-mJ pulses at 800 nm. 3

4 Longer pulses are obtained by adjusting the pulse compressor. The laser pulses are focused with a 0.1-m focal-length lens and, except where noted, incident normal to the sample. The spatial profile of the laser pulse is nearly Gaussian, with a fixed beam waist of 200 µm at the sample. The fluence (energy per unit area) varies over the laser spatial profile; values quoted below refer to the fluence at the center of the spatial profile. The fluence is controlled by changing the total incident energy with a half-wave plate and a polarizer. The polarization of the laser pulse is controlled by a second half-wave plate. Each spot on the sample surface is exposed to 500 laser pulses. We translate the sample by approximately 1 mm between runs. Following irradiation, the sample is analyzed with a scanning electron microscope (SEM). 2 Results and discussion Figure 1 shows planar and cross-sectional views of the sharp conical spikes on silicon produced with 0-fs pulses at a fluence of kj/m 2 in 500-torr SF 6. The spike size varies across the irradiated region, reflecting the variation of the fluence across the laser spatial profile. The spikes are up to 40-µm tall and have a subtended angle of approximately 20. The base of the spikes has an asymmetric shape. When we rotate the laser polarization, the orientation of the base rotates accordingly. We find that the short axis of the base is always parallel to the laser polarization. We determined the atomic structure of each individual spike by performing back scattering Kikuchi diffraction.[9] The diffraction pattern obtained from the spike shows the same Kikuchi lines as those taken from a flat undamaged surface next to the irradiated areas. This indicates that the spike is crystalline and has the same crystallographic orientation as bulk silicon. 4

5 We also observed similar sharp spikes on Si(111) with the laser at normal incidence and Si(0) with the laser incident at 20 off the surface normal. In all cases, the spikes point along the incident laser direction, independent of the crystallographic orientation of the substrate. Figure 1 shows that the distribution of the spikes reflects the intensity variation over the spatial profile of the laser beam. At the center of the irradiated area, the spikes are wider, taller, and more sparsely spaced, while at the edges they are narrower, shorter, and more closely packed. We determined the relation between spike height and spike separation from Fig.1 by measuring the spike height h as a function of the distance from the center of the irradiated region Fig. 1 (b) and the average separation between spikes d from Fig. 1 (a). The average spike separation is obtained from the number density of the spikes within a µm 2 square area. Figure 2 shows that the spike height scales nonlinearly with the spike separation. The solid line in Fig. 2 is a least-squared fit of a power law, h d p to the data, yielding a power law exponent p = 2.4 ± 0.1. When the spike separation drops below 1.5 µm, the surface is corrugated but no sharp spikes are observed. Figure 3 shows the dependence of the spike separation on laser fluence with 0-fs pulses. To avoid any problems associated with fluence variations over the beam profile, we obtained these data by measuring the average spike separation at the center of the laser profile for different fluences. The spike separation increases sharply with increasing laser fluence: as the fluence increases from 5 to kj/m 2, the spike separation increases by a factor of three. Using the power law fit from Figure 2, this yields a twelve-fold increase in spike height. Below 2 kj/m 2, the surface shows ripples of submicrometer periodicity and no spikes are observed. At 1 kj/m 2, the surface remains intact even after 500 laser pulses. Figure 4 shows the dependence of the spike separation on pulse duration for laser pulses at a fixed fluence of kj/m 2. The spike separation decreases sharply as the pulse 5

6 duration increases from 0.1 to 1 ps, and remains approximately constant between 1 and ps. At 250 ps, the surface is corrugated but no sharp spikes are observed. Cones with a morphology similar to the ones we observe on silicon have been seen on metals, dielectrics, and oxides after ion or nanosecond laser sputtering.[,11] These cones also have the same crystallographic orientation as the bulk and point along the incident beam direction. Their formation has been attributed to shielding of the underlying substrate by sputtering-resistant impurities on the surface, leaving the cones behind as the surrounding material is removed by the sputtering particles. A similar argument can be used to explain the formation of the spikes on silicon reported here. Initial fluctuations[12] give rise to preferential removal of material at certain locations, explaining the observed crystallographic orientation and pointing of the spikes we observe on silicon. Because more silicon is removed in areas where more energy is deposited, a difference in light absorption on different sides of the spikes would explain the asymmetric shape of their bases visible in Fig. 1 (a). Indeed, the laser pulses in Fig. 1 (a) are polarized along the x axis; therefore, they are p-polarized on the sides of spikes oriented along the yz plane, and s-polarized on the sides of spikes oriented along the xz plane. Because absorption of p-polarized light is larger than that of s-polarized light, the sides of spikes oriented along the yz plane absorb more energy, causing the spikes to become narrower in the x direction. Our observations are therefore consistent with a mechanism involving the removal of silicon substrate that is dependent on the local energy absorption. In a previous paper we reported the effect of ambient gases on spike formation.[5] The observation of blunt spikes in vacuum and sharp spikes in halogen containing gases suggests that both laser ablation and laser-induced chemical etching are involved in the removal of substrate material. Both of these processes could explain the strong dependence of the spike separation on laser fluence and pulse duration we observe. On 6

7 one hand, studies of the subpicosecond laser ablation of materials have shown that ablation depends strongly on the laser fluence and pulse duration.[13,14] On the other hand, multiphoton ionization caused by the femtosecond laser pulses can produce reactive fluorine radicals or SF 6 plasmas,[15] which are known to etch silicon.[16,17] Recent studies have also shown that the cross-sections of femtosecond laser-induced surface reactions increase dramatically as a function of fluence and pulse duration.[18,19] Additional experiments will be needed to determine which of these two processes is responsible for the observed phenomena. Acknowledgements We thank Professor Mike Aziz for valuable discussions and Dr. Daniel Mumm for help with the back scattering Kikuchi diffraction. This work was supported by the Army Research Office. 7

8 Figure 1 Sharp conical spikes produced on Si(0) by 500 laser pulses of 0-fs duration and -kj/m 2 fluence in SF 6 at a pressure of 500 torr viewed (a) from the surface normal, and (b) parallel to the surface. Figure 2 Dependence of average spike height on average spike separation, determined from Fig.1 (a) and (b). The solid straight line is a least-squared fit to a power law dependence. Figure 3 Dependence of average spike separation on laser fluence for laser pulses of a fixed pulse duration of 0 fs. Figure 4 Dependence of average spike separation on laser pulse duration for laser pulses of a fixed fluence of kj/m 2. 8

9 REFERENCES _ 1 I. H. Wilson, Radiation Effects 18, 95 (1973). 2 H.G. Craighead, R.E. Howard, and D.M. Tennant, Appl. Phys. Lett. 37 (7), 653 (1980). 3 P.M. Fauchet and A.E. Siegman, Appl. Phys. Lett. 40, 824 (1982). 4 J.E. Rothenberg and R. Kelly, Nucl. Instrum. Meth. Phys. Res. B1, 291 (1984). 5 T.-H. Her, R.J. Finlay, C. Wu et al., Appl. Phys. Lett 73 (12), 1673 (1998). 6 A.J. Pedraza, J.D. Fowlkes, and D.H. Lowndes, App. Phys. Lett. 74 (16), 2322 (1999). 7 P.B. Clapham and M.C. Hutley, Nature 244, 281 (1973). 8 S. Henry, D. McAllister, m. Allen et al., J. Pharm. Sci. 87, 922 (1998). 9 D.J. Dingley and D.P. Field, Mat. Sci. Tech. 13 (1), 69 (1997). I. H. Wilson, J. Belson, and O. Auciello, Secondary effects in ion bombardment - induced surface erosion, in Ion Bombardment Modification of Surfaces: Fundamentals and Applications, edited by O. Auciello and R. Kelly (Elsevier, 1984), Vol. 1, pp S. Foltyn, Surface modification of materials by cumulative laser irradiation, in Pulsed laser deposition of thin films, edited by D.B. Chrisey and G.K. Hubler (John Wiley & Sons, New York, 1994). 12 Martin F. von Allmen and S. S. Lau, Silicides and metastable phases, in Laser annealing of semiconductors, edited by J. M. Poate and James W. Mayer (Academic Press, New York, 1982), pp M. Lenzner, J. Krüger, S. Sartania et al., Phys. Rev. Lett. 80 (18), 4076 (1998). 14 W. Kautek and J. Krüger, Materials Science Forum , 17 (1995). 15 F. Raksi, K. Wilson, Z. Jiamg et al., J. Chem. Phys. 4 (15), 6066 (1996). 16 T.J. Chuang, Surf. Sci. Rep. 3, 1-5 (1983). 17 K. Suzuki, S. Okudaira, S. Nishimatsu et al., J. Electrochem. Soc. 129, 2764 (1982). 18 J.A. Misewich, A. Kalamarides, T.F. Heinz et al., J. Chem. Phys. 0, 736 (1994). 9

10 19 T.-H. Her, R.J. Finlay, C. Wu et al., J. Chem. Phys. 8 (20) 1673 (1998).

11 0 average spike height (µm) average spike separation (µm) Fig. 1 Fig average spike separation (µm) 5 average spike separation (µm) incident fluence ( kj/m 2 ) pulse duration (ps) Fig. 3 Fig. 4

Femtosecond laser-induced silicon surface morphology in water confinement

Femtosecond laser-induced silicon surface morphology in water confinement Microsyst Technol (2009) 15:1045 1049 DOI 10.1007/s00542-009-0880-8 TECHNICAL PAPER Femtosecond laser-induced silicon surface morphology in water confinement Yukun Han Æ Cheng-Hsiang Lin Æ Hai Xiao Æ Hai-Lung

More information

Pulsed laser deposition of organic materials

Pulsed laser deposition of organic materials Pulsed laser deposition of organic materials PhD theses Gabriella Kecskeméti Department of Optics and Quantum Electronics University of Szeged Supervisor: Dr. Béla Hopp senior research fellow Department

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Applied Surface Science 186 2002) 453±457 Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Lianne M. Doeswijk a,*, Hugo H.C. de Moor b, Horst Rogalla a, Dave

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Thresholds for femtosecond laser-induced breakdown in bulk transparent solids and water

Thresholds for femtosecond laser-induced breakdown in bulk transparent solids and water Thresholds for femtosecond laser-induced breakdown in bulk transparent solids and water Chris B. Schaffer, Nozomi Nishimura, and Eric Mazur * Harvard University, Department of Physics, Cambridge, MA 02138

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser V.Nassisi #, G.Caretto #, A. Lorusso #, D.Manno %, L.Famà %, G.Buccolieri %, A.Buccolieri %, U.Mastromatteo* # Laboratory of Applied

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting 3G Solar Technologies Multidisciplinary Workshop MRS Spring Meeting San Francisco, CA, 5 April 2010 Michael P.

More information

METHODS FOR PULSED LASER DEPOSITION OF LARGE-AREA FILMS USING MORE THAN ONE TARGET

METHODS FOR PULSED LASER DEPOSITION OF LARGE-AREA FILMS USING MORE THAN ONE TARGET Laser Physics 0 International Journal of Modern Physics: Conference Series Vol. 5 (0) 70 78 World Scientific Publishing Company DOI: 0.4/S009450078 METHODS FOR PULSED LASER DEPOSITION OF LARGE-AREA FILMS

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm

Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm Progress In Electromagnetics Research Symposium Proceedings, Taipei, March 5 8, 3 359 Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm Yoshito Sonoda, Takashi Samatsu, and

More information

NANOFLAM. Projet ANR Blanc 2011 BS0401001. Aide allouée: 337 000, durée 36+8 mois (fin : Mai 2015) Laboratoire H. Curien

NANOFLAM. Projet ANR Blanc 2011 BS0401001. Aide allouée: 337 000, durée 36+8 mois (fin : Mai 2015) Laboratoire H. Curien Laboratoire H. Curien Centre de Physique Théorique F. Courvoisier R. Stoian & T. Itina A. Couairon NANOFLAM Projet ANR Blanc 2011 BS0401001 Contrôle de la filamentation et de la génération de plasma avec

More information

NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE CHARACTERIZATION

NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE CHARACTERIZATION Rev.Adv.Mater.Sci. Nanostructured ZnO 10 and (2005) ZAO 335-340 transparent thin films by sputtering surface characterization 335 NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE

More information

Chapter 6 Metal Films and Filters

Chapter 6 Metal Films and Filters Chapter 6 Metal Films and Filters 6.1 Mirrors The first films produced by vacuum deposition as we know it were aluminum films for mirrors made by John Strong in the 1930s; he coated mirrors for astronomical

More information

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Saulius Marcinkevičius Optics, ICT, KTH 1 Outline Optical near field. Principle of scanning near field optical microscope

More information

Luminescence study of structural changes induced by laser cutting in diamond films

Luminescence study of structural changes induced by laser cutting in diamond films Luminescence study of structural changes induced by laser cutting in diamond films A. Cremades and J. Piqueras Departamento de Fisica de Materiales, Facultad de Fisicas, Universidad Complutense, 28040

More information

Why Using Laser for Dust Removal from Tokamaks

Why Using Laser for Dust Removal from Tokamaks 1 FTP/P1-25 Why Using Laser for Dust Removal from Tokamaks Ph. Delaporte 1), A. Vatry 1), 2), D. Grojo 1), M. Sentis 1), C. Grisolia 2) 1) Laboratoire Lasers, Plasmas et Procédés Photoniques, campus de

More information

NEAR FIELD OPTICAL MICROSCOPY AND SPECTROSCOPY WITH STM AND AFM PROBES

NEAR FIELD OPTICAL MICROSCOPY AND SPECTROSCOPY WITH STM AND AFM PROBES Vol. 93 (1997) A CTA PHYSICA POLONICA A No. 2 Proceedings of the 1st International Symposium on Scanning Probe Spectroscopy and Related Methods, Poznań 1997 NEAR FIELD OPTICAL MICROSCOPY AND SPECTROSCOPY

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

Sputtering by Particle Bombardment I

Sputtering by Particle Bombardment I Sputtering by Particle Bombardment I Physical Sputtering of Single-Element Solids Edited by R. Behrisch With Contributions by H. H. Andersen H. L. Bay R. Behrisch M. T. Robinson H. E. Roosendaal P. Sigmund

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Apertureless Near-Field Optical Microscopy

Apertureless Near-Field Optical Microscopy VI Apertureless Near-Field Optical Microscopy In recent years, several types of apertureless near-field optical microscopes have been developed 1,2,3,4,5,6,7. In such instruments, light scattered from

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Applications of New, High Intensity X-Ray Optics - Normal and thin film diffraction using a parabolic, multilayer mirror

Applications of New, High Intensity X-Ray Optics - Normal and thin film diffraction using a parabolic, multilayer mirror Applications of New, High Intensity X-Ray Optics - Normal and thin film diffraction using a parabolic, multilayer mirror Stephen B. Robie scintag, Inc. 10040 Bubb Road Cupertino, CA 95014 Abstract Corundum

More information

Reactive Sputtering Using a Dual-Anode Magnetron System

Reactive Sputtering Using a Dual-Anode Magnetron System Reactive Sputtering Using a Dual-Anode Magnetron System A. Belkind and Z. Zhao, Stevens Institute of Technology, Hoboken, NJ; and D. Carter, G. McDonough, G. Roche, and R. Scholl, Advanced Energy Industries,

More information

Chapter 8. Low energy ion scattering study of Fe 4 N on Cu(100)

Chapter 8. Low energy ion scattering study of Fe 4 N on Cu(100) Low energy ion scattering study of 4 on Cu(1) Chapter 8. Low energy ion scattering study of 4 on Cu(1) 8.1. Introduction For a better understanding of the reconstructed 4 surfaces one would like to know

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Laser-induced modification of metal nanoparticles formed by laser ablation technique in liquids

Laser-induced modification of metal nanoparticles formed by laser ablation technique in liquids Applied Surface Science 247 (2005) 418 422 www.elsevier.com/locate/apsusc Laser-induced modification of metal nanoparticles formed by laser ablation technique in liquids N.V. Tarasenko *, A.V. Butsen,

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Femtosecond Laser Micromachining

Femtosecond Laser Micromachining Femtosecond Laser Micromachining 02/03/2010 Spring 2010 MSE503 Seminar Deepak Rajput Center for Laser Applications University of Tennessee Space Institute Tullahoma, Tennessee 37388-9700 Email: drajput@utsi.edu

More information

Scanning Electron Microscopy Services for Pharmaceutical Manufacturers

Scanning Electron Microscopy Services for Pharmaceutical Manufacturers Scanning Electron Microscopy Services for Pharmaceutical Manufacturers Author: Gary Brake, Marketing Manager Date: August 1, 2013 Analytical Testing Laboratory www.atl.semtechsolutions.com Scanning Electron

More information

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD *

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * 201 COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * J. Müller 1, D. Balzar 1,2, R.H. Geiss 1, D.T. Read 1, and R.R. Keller 1 1 Materials Reliability Division, National

More information

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness Journal of ELECTRONIC MATERIALS, Vol. 36, No. 11, 2007 DOI: 10.1007/s11664-007-0270-x Ó 2007 TMS Special Issue Paper -Cu Intermetallic Grain Morphology Related to Layer Thickness MIN-HSIEN LU 1 and KER-CHANG

More information

Ultraviolet laser removal of small metallic particles from silicon wafers

Ultraviolet laser removal of small metallic particles from silicon wafers Optics and Lasers in Engineering 38 (2002) 405 415 Ultraviolet laser removal of small metallic particles from silicon wafers C. Curran a, *, J.M. Lee b, K.G. Watkins a a Laser Group, Department of Engineering,

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Analysis of Blind Microvias Forming Process in Multilayer Printed Circuit Boards

Analysis of Blind Microvias Forming Process in Multilayer Printed Circuit Boards POLAND XXXII International Conference of IMAPS - CPMT IEEE Poland Pułtusk - 4 September 008 Analysis of Blind Microvias Forming Process in Multilayer Printed Circuit Boards Janusz Borecki ), Jan Felba

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Laser drilling up to15,000 holes/sec in silicon wafer for PV solar cells

Laser drilling up to15,000 holes/sec in silicon wafer for PV solar cells Laser drilling up to15,000 holes/sec in silicon wafer for PV solar cells Rahul Patwa* a, Hans Herfurth a, Guenther Mueller b and Khan Bui b a Fraunhofer Center for Laser Technology, 48170 Port Street,

More information

TOF FUNDAMENTALS TUTORIAL

TOF FUNDAMENTALS TUTORIAL TOF FUNDAMENTALS TUTORIAL Presented By: JORDAN TOF PRODUCTS, INC. 990 Golden Gate Terrace Grass Valley, CA 95945 530-272-4580 / 530-272-2955 [fax] www.rmjordan.com [web] info@rmjordan.com [e-mail] This

More information

Modeling Max-of-N Fluence Distribution for Optics Lifetime

Modeling Max-of-N Fluence Distribution for Optics Lifetime LLNL-JRNL-509595 Modeling Max-of-N Fluence Distribution for Optics Lifetime Z. M. Liao, J. Hubel, J. T. Trenholme, C. W. Carr October 31, 011 Proceedings of SPIE Disclaimer This document was prepared as

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

LASER ENGRAVING REFLECTIVE METALS TO CREATE SCANNER READABLE BARCODES Paper P516

LASER ENGRAVING REFLECTIVE METALS TO CREATE SCANNER READABLE BARCODES Paper P516 LASER ENGRAVING REFLECTIVE METALS TO CREATE SCANNER READABLE BARCODES Paper P516 Paul M Harrison, Jozef Wendland, Matthew Henry Powerlase Ltd, Imperial House, Link 10, Napier Way, Crawley, West Sussex,

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R.

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R. The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging Jacob R. Bowen Contents Components of a FIB-SEM Ion interactions Deposition & patterns

More information

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors Integrated Ferroelectrics, 53: 503 511, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390258651 Observation of Long Transients in the Electrical Characterization

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES Ex parte ELIZABETH G. PAVEL, MARK N. KAWAGUCHI, and JAMES S. PAPANU Appeal 2009-002463 Technology Center 1700

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Polymer growth rate in a wire chamber with oxygen, water, or alcohol gas additives

Polymer growth rate in a wire chamber with oxygen, water, or alcohol gas additives SLAC-PUB-13 June 6, 8 Polymer growth rate in a wire chamber with oxygen, water, or alcohol gas additives Adam M. Boyarski Stanford Linear Accelerator Center, M.S. 95, 575 Sand Hill Rd, Menlo Park, CA 95,

More information

Laser Based Micro and Nanoscale Manufacturing and Materials Processing

Laser Based Micro and Nanoscale Manufacturing and Materials Processing Laser Based Micro and Nanoscale Manufacturing and Materials Processing Faculty: Prof. Xianfan Xu Email: xxu@ecn.purdue.edu Phone: (765) 494-5639 http://widget.ecn.purdue.edu/~xxu Research Areas: Development

More information

F ormation of Very Low Resistance Contact for Silicon Photovoltaic Cells. Baomin Xu, Scott Limb, Alexandra Rodkin, Eric Shrader, and Sean Gamer

F ormation of Very Low Resistance Contact for Silicon Photovoltaic Cells. Baomin Xu, Scott Limb, Alexandra Rodkin, Eric Shrader, and Sean Gamer F ormation of Very Low Resistance Contact for Silicon Photovoltaic Cells Baomin Xu, Scott Limb, Alexandra Rodkin, Eric Shrader, and Sean Gamer Palo Alto Research Center, 3333 Coyote Hill Road, Palo Alto,

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Holographically corrected microscope with a large working distance (as appears in Applied Optics, Vol. 37, No. 10, 1849-1853, 1 April 1998)

Holographically corrected microscope with a large working distance (as appears in Applied Optics, Vol. 37, No. 10, 1849-1853, 1 April 1998) Holographically corrected microscope with a large working distance (as appears in Applied Optics, Vol. 37, No. 10, 1849-1853, 1 April 1998) Geoff Andersen and R. J. Knize Laser and Optics Research Center

More information

Photovoltaic Power: Science and Technology Fundamentals

Photovoltaic Power: Science and Technology Fundamentals Photovoltaic Power: Science and Technology Fundamentals Bob Clark-Phelps, Ph.D. Evergreen Solar, Inc. Renewable Energy Seminar, Nov. 2, 2006 Photovoltaic Principle Energy Conduction Band electron Energy

More information

* This work is an official contribution of the National Institute of Standards and Technology and

* This work is an official contribution of the National Institute of Standards and Technology and Variability in the Geometric Accuracy of Additively Manufactured Test Parts A.L. Cooke and J.A. Soons National Institute of Standards and Technology * Gaithersburg, MD, USA Abstract This paper describes

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Project 2B Building a Solar Cell (2): Solar Cell Performance

Project 2B Building a Solar Cell (2): Solar Cell Performance April. 15, 2010 Due April. 29, 2010 Project 2B Building a Solar Cell (2): Solar Cell Performance Objective: In this project we are going to experimentally measure the I-V characteristics, energy conversion

More information

Modification of Graphene Films by Laser-Generated High Energy Particles

Modification of Graphene Films by Laser-Generated High Energy Particles Modification of Graphene Films by Laser-Generated High Energy Particles Elena Stolyarova (Polyakova), Ph.D. ATF Program Advisory and ATF Users Meeting April 2-3, 2009, Berkner Hall, Room B, BNL Department

More information

MICROSTRUCTURAL AND MECHANICAL CHARACTERIZATION OF GRAY CAST IRON AND AlSi ALLOY AFTER LASER BEAM HARDENING

MICROSTRUCTURAL AND MECHANICAL CHARACTERIZATION OF GRAY CAST IRON AND AlSi ALLOY AFTER LASER BEAM HARDENING Materials Science Forum Vols. 638-642 (2010) pp 769-774 Online available since 2010/Jan/12 at www.scientific.net (2010) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/msf.638-642.769

More information

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff December 2012 Specifying Advanced Plasma Deposited Hard Coated Optical Bandpass and Dichroic Filters. Introduction

More information

Laserbearbeitung von dünnen Schichten auf Rolle-zu-Rolle-Anlagen

Laserbearbeitung von dünnen Schichten auf Rolle-zu-Rolle-Anlagen Laserbearbeitung von dünnen Schichten auf Rolle-zu-Rolle-Anlagen Dr. Frank Allenstein 3D-Micromac AG 3D-Micromac At a Glance 141 employees in R&D, manufacturing and service Worldwide more than 300 industrial

More information

Effect of Ambient Conditions on Thermal Properties of Photovoltaic Cells: Crystalline and Amorphous Silicon

Effect of Ambient Conditions on Thermal Properties of Photovoltaic Cells: Crystalline and Amorphous Silicon Effect of Ambient Conditions on Thermal Properties of Photovoltaic Cells: Crystalline and Amorphous Silicon Latifa Sabri 1, Mohammed Benzirar 2 P.G. Student, Department of Physics, Faculty of Sciences

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

PHYS 222 Spring 2012 Final Exam. Closed books, notes, etc. No electronic device except a calculator.

PHYS 222 Spring 2012 Final Exam. Closed books, notes, etc. No electronic device except a calculator. PHYS 222 Spring 2012 Final Exam Closed books, notes, etc. No electronic device except a calculator. NAME: (all questions with equal weight) 1. If the distance between two point charges is tripled, the

More information

CREOL, College of Optics & Photonics, University of Central Florida

CREOL, College of Optics & Photonics, University of Central Florida OSE6650 - Optical Properties of Nanostructured Materials Optical Properties of Nanostructured Materials Fall 2013 Class 3 slide 1 Challenge: excite and detect the near field Thus far: Nanostructured materials

More information

Finite Difference Time Domain and BPM: Flexible Algorithm Selection Technology

Finite Difference Time Domain and BPM: Flexible Algorithm Selection Technology Finite Difference Time Domain and BPM: Flexible Algorithm Selection Technology 1. Introduction This application note shows the use of the Finite Difference Time Domain (FDTD) module in the calculation

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Wipe Analysis to Determine Metal Contamination on Critical Surfaces

Wipe Analysis to Determine Metal Contamination on Critical Surfaces By Albert Dato, Ph.D., Warren York, Jennifer Jew, Laarni Huerta, Brice Norton, and Michael Coste On-wafer metallic contamination is detrimental to the fabrication and performance of semiconductor devices.

More information

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes Applications Laboratory Report 86 Evaluating Surface Roughness of Si Following Selected Processes Purpose polishing of samples is a common application and required for a variety of manufacturing and research

More information

Self-Guided Intense Laser Pulse Propagation in Air

Self-Guided Intense Laser Pulse Propagation in Air Nonlinear Analysis: Modelling and Control, 2000, v.6, No, 2-26 Self-Guided Intense Laser Pulse Propagation in Air R. Danielius, D. Mikalauskas, A. Dubietis and A. Piskarskas Department of Quantum Electronics,

More information

X-ray thin-film measurement techniques

X-ray thin-film measurement techniques Technical articles X-ray thin-film measurement techniques II. Out-of-plane diffraction measurements Toru Mitsunaga* 1. Introduction A thin-film sample is two-dimensionally formed on the surface of a substrate,

More information

Study of the Human Eye Working Principle: An impressive high angular resolution system with simple array detectors

Study of the Human Eye Working Principle: An impressive high angular resolution system with simple array detectors Study of the Human Eye Working Principle: An impressive high angular resolution system with simple array detectors Diego Betancourt and Carlos del Río Antenna Group, Public University of Navarra, Campus

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

Laser sintering of greens compacts of MoSi 2

Laser sintering of greens compacts of MoSi 2 Laser sintering of greens compacts of MoSi 2 G. de Vasconcelos 1, R. Cesar Maia 2, C.A.A.Cairo 3, R. Riva 2, N.A.S.Rodrigues 2, F.C.L.Mello 3 Instituto de Estudos Avançados 1, Instituto Tecnológico de

More information

the laser beam [CHR02], [KRD05]. Formation mechanisms of laser-generated ripples and the underlying microscopic processes are still discussed.

the laser beam [CHR02], [KRD05]. Formation mechanisms of laser-generated ripples and the underlying microscopic processes are still discussed. Introduction Laser-induced periodic surface structures were detected in early experiments on laser application [Bir65] and have been studied experimentally ever since. The first widely accepted theoretical

More information

Millijoules high master-slave pulse ratio 532 nm picosecond laser

Millijoules high master-slave pulse ratio 532 nm picosecond laser Millijoules high master-slave pulse ratio 532 nm picosecond laser Zhao You Fan Zhongwei 1, Bai Zhenao 12, Zhang Guoxin 2, Lian Fuqiang 12, Zhao You 3, Shen Ming 3 1 Academy of Opto-Electronics, Chinese

More information

Unit 12 Practice Test

Unit 12 Practice Test Name: Class: Date: ID: A Unit 12 Practice Test Multiple Choice Identify the choice that best completes the statement or answers the question. 1) A solid has a very high melting point, great hardness, and

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012914 TITLE: Atomic Force Microscopy Characterization of Nanostructured Materials Using Selective Chemical Etching DISTRIBUTION:

More information

Surface characterization of oxygen deficient SrTiO 3

Surface characterization of oxygen deficient SrTiO 3 U. Scotti di Uccio Surface characterization of oxygen deficient SrTiO 3 films and single crystals Coherentia-CNR-INFM Napoli, Italy Prof. R. Vaglio R. Di Capua, G. De Luca, M. Radovic, N. Lampis, P. Perna,

More information

Laboratorio Regionale LiCryL CNR-INFM

Laboratorio Regionale LiCryL CNR-INFM Laboratorio Regionale LiCryL CNR-INFM c/o Physics Department - University of Calabria, Ponte P. Bucci, Cubo 33B, 87036 Rende (CS) Italy UNIVERSITÀ DELLA CALABRIA Dipartimento di FISICA Researchers Dr.

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information