ICS Pentium/Pro TM System Clock Chip. Integrated Circuit Systems, Inc. General Description. Pin Configuration.

Size: px
Start display at page:

Download "ICS9148-18. Pentium/Pro TM System Clock Chip. Integrated Circuit Systems, Inc. General Description. Pin Configuration."

Transcription

1 Interated Circuit Systems, Inc. ICS948-8 Pentium/Pro TM System Clock Chip General Description The ICS948-8 is a Clock Synthesizer chip for Pentium and PentiumPro CPU based Desktop/Notebook systems that will provide all necessary clock timin. Features include two CPU and six PCI clocks. One reference output is available equal to the crystal frequency. Additionally, the device meets the Pentium power-up stabilization requirement, acheivin stable CPU and PCI clocks 2ms after power-up. PD# pin can enable a low power mode by stoppin crystal OSC and PLL staes. Other power manaement features include, CPU_STOP# which stops CPU (0:) clocks, and PCI_STOP# which stops PCICLK (0:4) clocks. Hih drive CPUCLK outputs typically provide reater than V/ns slew rate into 20pF loads. PCICLK outputs typically provide better than V/ns slew rate into 30pF loads while maintainin 50±5% duty cycle. The REF clock output typically provides better than 0.5V/ns slew rates. Features Generates system clocks for CPU, PCI, plus 4.34 MHz REF0. Supports sinle or dual processor systems Skew from CPU (earlier) to PCI clock (risin edes for 00/33.3MHz) to 4ns Separate 2.5V and 3.3V supply pins 2.5V or 3.3V output: CPU 3.3V outputs: PCI, REF No power supply sequence requirements Uses external 4.38MHz crystal, no external load cap required for C L =8pF crystal 28 pin 209 mil SSOP Pin Confiuration The ICS948-8 accepts a 4.38MHz reference crystal or clock as its input and runs on a 3.3V core supply. Block Diaram 28 pin SSOP Power Groups VDD = Supply for PLL core VDD = REF0, X, X2 VDD2 = PCICLK_F, PCICLK (0:4) VDDL = CPUCLK (0:) Ground Groups GND = Ground Source Core GND = REF0, X, X2 GND2 = PCICLK_F, PCICLK (0:4) GNDL = CPUCLK (0:) Pentium is a trademark on Intel Corporation Rev C 2/02/05 ICS reserves the riht to make chanes in the device data identified in this publication without further notice. ICS advises its customers to obtain the latest version of all device data to verify that any information bein relied upon by the customer is current and accurate.

2 ICS948-8 Pin Descriptions PIN NUMBER PIN NAME 26 REF0 28 GND X 2 X2 3, 2 GND2 4 PCICLK_ F 5, 7, 8, 0, PCICLK (0:4) 6, 9 VDD2 3, 2 VDD 4, 20 GND 5 SEL00/66.6# 6 FS0 7 PD# 8 CPU_STOP# 9 PCI_STOP# 25 VDDL 22 GNDL 23, 24 CPUCLK (:0) 27 VDD TYP E OUT PWR IN OUT PWR OUT OUT PWR PWR PWR DESCRIPTIO N 4.38MHz clock output Ground for REF outputs XTAL_IN 4.38MHz Crystal input, has internal 33pF load cap and feed back resistor from X2 XTAL_OUT Crystal output, has internal load cap 33pF Ground for PCI outputs Free PCI output PCI clock outputs. TTL compatible 3.3V Power for PCICLK outputs, nominally 3.3V Isolated power for core, nominally 3.3V Isolated round for core Select pin for enablin 00MHz or 66.6MHz IN H=00MHz, L=66.6MHz (PCI always synchronous 33.3MHz) IN Frequency Select pin IN Powers down chip, active low IN Halts CPU clocks at loic "0" level when low IN Halts PCI Bus at loic "0" level when low PWR Power for CPU outputs, nominally 2.5V P WR Ground for CPU outputs. OUT CPU and Host clock 2.5V P WR Power for REF outputs. Select Functions (Functionality determined by FS0 and SEL00/66# pin, see below) Functionality CPUCL K PCI, PCI_ F REF0 Tristate HI - Z HI - Z HI - Z Testmode TCLK/ 2 TCLK/ 6 TCLK Notes:. TCLK is a test clock driven on the X (crystal in pin) input durin test mode. SEL 00/66# FS0 Functio n 0 0 Tri-Stat e 0 - (Reserved ) 0 - (Reserved ) 0 Active 66.6MHz CPU, 33.3 PCI 0 Test Mode - (Reserved ) - (Reserved ) Active 00MHz CPU, 33.3 PCI 2

3 ICS948-8 Technical Pin Function Descriptions VDD(,2) This is the power supply to the internal core loic of the device as well as the clock output buffers for REF0, PCICLK (0:4), and PCICLK_F. This pin operates at 3.3V volts. Clocks from the buffers that it supplies will have a voltae swin from Ground to this level. For the actual uaranteed hih and low voltae levels for the clocks, please consult the DC parameter table in this data sheet. VDDL This is the power supply for the CPUCLK output buffers. The voltae level for these outputs may be 2.5 or 3.3volts. Clocks from the buffers that this pin supplies will have a voltae swin from Ground to VDDL. For the actual uaranteed hih and low voltae levels of these Clocks, please consult the DC parameter table in this data sheet. GND(,2) This is the power supply round (common or neative) return pin for the internal core loic and all the PCI output buffers. GNDL This is the round for CPUCLK output buffers. X This input pin serves one of two functions. When the device is used with a crystal, X acts as the input pin for the reference sinal that comes from the crystal. When the device is driven by an external clock sinal, X is the device input pin for that reference clock. This pin also has an internal Crystal loadin capacitor that is connected to round. With a nominal value of 33pF, no external load cap is needed for a C L =7 to 8pF crystal. X2 This Output pin is used only when the device uses a crystal as the reference frequency source. In this mode of operation, X2 is an output sinal that drives (or excites) the crystal. The X2 pin also has an internal loadin capacitor, nominally 33pF. REF0 The REF Output is fixed frequency clock that runs at the same frequency as the Input Reference Clock or the Crystal (typically 4.388MHz) attached across X and X2. PCICLK_F This Output is equal to PCICLK(0:4) and is FREE RUNNING, and will not be stopped by PCI_STOP#. PCICLK (0:4) These output clocks enerate all the PCI timin requirements for a Pentium/Pro based system. They conform to the current PCI specification. SELECT 00/66.6MHz# This input pin controls the frequency of the clocks at the CPU & PCICLK output pins. If a loic value is present on this pin, the 00MHz clock is selected. If a loic 0 is used, the 66.6MHz frequency is selected. The PCI clock is multiplexed to run at 33.3MHz for both select cases. PCI is synchronous at the risin ede of PCI to the CPU risin ede (with the skew makin CPU early). PD# This is an asynchronous active low input pin used to power down the device into a low power state. The internal clocks are disabled and the VCO and Crystal are stopped. Power down will also place all the outputs in a low state at the end of their current cycle. The latency of power down will not be reater than 3ms. CPU_STOP# This is a synchronous active low input pin used to stop the CPUCLK clocks in an active low state. All other clocks will continue to run while this function is enabled. The CPUCLKs will have a turn ON latency of at least 3 CPU clocks. PCI_STOP# This is a synchronous active low input pin used to stop the PCICLK clocks in an active low state. It will not effect PCICLK_F nor any other outputs. CPUCLK (0:) These output pins are the clock outputs that drive processor and other CPU related circuitry that requires clocks which are in tiht skew tolerance with the CPU clock. The voltae swin of these clocks is controlled by the voltae level applied to the VDDL pin of the device. See the Functionality Table for a list of the specific frequencies that are available for these clocks and the selection codes to produce them. 3

4 ICS948-8 Power Manaement Clock Enable Confiuration CPU_STO- P# PCI_STOP# PWR_DW- N# CPUCLK X X 0 Low 0 0 Low 0 Low 0 00/66.6MHz 00/66.6MHz PCICLK Low Low 3.3 MHz Lo 3.3 MH REF Stopped 3 w 3 z Crystal Off VCOs Off Full clock cycle timin is uaranteed at all times after the system has initially powered up except where noted. Durin power up and power down operations usin the PD# pin will not cause clocks of a short or loner pulse than that of the runnin clock. The first clock pulse comin out of a stopped clock condition may be slihtly distorted due to clock network charin circuitry. Board routin and sinal loadin may have a lare impact on the initial clock distortion also. ICS948-8 Power Manaement Requirements SIGNAL SIGNAL STAT E Latency No. of risin edes of free runnin PCICLK C PU_ STOP# 2 0 (Disabled ) (Enabled) P CI_STOP# 2 0 (Disabled ) PD# (Enabled) (Normal 3 Operation) 3ms 4 0 (Power Down) 2max Notes.. Clock on latency is defined from when the clock enable oes active to when the first valid clock comes out of the device. 2. Clock off latency is defined from when the clock enable oes inactive to when the last clock is driven low out of the device. 3. Power up latency is when PD# oes inactive (hih) to when the first valid clocks are output by the device. 4. Power down has controlled clock counts applicable to CPUCLK, PCICLK only. The REF and IOAPIC will be stopped independant of these. 4

5 ICS948-8 CPU_STOP# Timin Diaram CPUSTOP# is an asychronous input to the clock synthesizer. It is used to turn off the CPUCLKs for low power operation. CPU_STOP# is synchronized by the ICS The minimum that the CPUCLK is enabled (CPU_STOP# hih pulse) is 00 CPUCLKs. All other clocks will continue to run while the CPUCLKs are disabled. The CPUCLKs will always be stopped in a low state and start in such a manner that uarantees the hih pulse width is a full pulse. CPUCLK on latency is less than 4 CPUCLKs and CPUCLK off latency is less than 4 CPUCLKs. Notes:. All timin is referenced to the internal CPUCLK. 2. CPU_STOP# is an asynchronous input and metastable conditions may exist. This sinal is synchronized to the CPUCLKs inside the ICS All other clocks continue to run undisturbed. 4. PD# and PCI_STOP# are shown in a hih (true) state. PCI_STOP# Timin Diaram PCI_STOP# is an asynchronous input to the ICS It is used to turn off the PCICLK (0:4) clocks for low power operation. PCI_STOP# is synchronized by the ICS948-8 internally. The minimum that the PCICLK (0:4) clocks are enabled (PCI_STOP# hih pulse) is at least 0 PCICLK (0:4) clocks. PCICLK (0:4) clocks are stopped in a low state and started with a full hih pulse width uaranteed. PCICLK (0:4) clock on latency cycles are only one risin PCICLK clock off latency is one PCICLK clock. Notes:. All timin is referenced to the Internal CPUCLK (defined as inside the ICS948 device.) 2. PCI_STOP# is an asynchronous input, and metastable conditions may exist. This sinal is required to be synchronized inside the ICS All other clocks continue to run undisturbed. 4. PD# and CPU_STOP# are shown in a hih (true) state. 5

6 ICS948-8 PD# Timin Diaram The power down selection is used to put the part into a very low power state without turnin off the power to the part. PD# is an asynchronous active low input. This sinal is synchronized internally by the ICS948-8 prior to its control action of powerin down the clock synthesizer. Internal clocks will not be runnin after the device is put in power down state. When PD# is active (low) all clocks are driven to a low state and held prior to turnin off the VCOs and the crystal oscillator. The power on latency is uaranteed to be less than 3ms. The power down latency is less than three CPUCLK cycles. PCI_STOP# and CPU_STOP# are don t care sinals durin the power down operations. Notes:. All timin is referenced to the Internal CPUCLK (defined as inside the ICS948 device). 2. PD# is an asynchronous input and metastable conditions may exist. This sinal is synchronized inside the ICS The shaded sections on the VCO and the Crystal sinals indicate an active clock is bein enerated. 6

7 ICS948-8 Absolute Maximum Ratins Supply Voltae V Loic Inputs GND 0.5 V to V DD +0.5 V Ambient Operatin Temperature C to +70 C Storae Temperature C to +50 C Stresses above those listed under Absolute Maximum Ratins may cause permanent damae to the device. These ratins are stress specifications only and functional operation of the device at these or any other conditions above those listed in the operational sections of the specifications is not implied. Exposure to absolute maximum ratin conditions for extended periods may affect product reliability. Electrical Characteristics - Input/Supply/Common Output Parameters TA = 0-70C; Supply Voltae VDD = VDDL = 3.3 V +/-5% (unless otherwise stated) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Input Hih Voltae VIH 2 VDD+0.3 V Input Low Voltae VIL VSS V Input Hih Current IIH VIN = VDD 0. 5 µa Input Low Current IIL VIN = 0 V; Inputs with no pull-up resistors µa Operatin IDD3.0(66) CL = 0 pf; 66MHz ma Supply Current IDD3.3(00) CL = 0 pf; 00MHz Power Down IDD3.3PD CL = 0 pf µa Supply Current Input frequency Fi VDD = 3.3 V; All outputs loaded 4.38 MHz Input Capacitance CIN Loic Inputs 5 pf CINX X & X2 pins pf Transition Time Ttrans To st crossin of taret Freq. 3 ms Settlin Time Ts From st crossin to % taret Freq. 5 ms Clk Stabilization TSTAB From VDD = 3.3 V to % taret Freq. 3 ms Skew TCP U-P CI VT =.5 V; ns Guaranteed by desin, not 00% tested in production. Electrical Characteristics - Input/Supply/Common Output Parameters TA = 0-70C; Supply Voltae VDD = 3.3 V +/-5%, VDDL = 2.5 V +/-5% (unless otherwise stated) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Operatin IDD2.5(66) CL = 0 pf; 66.8 MHz 3 25 ma Supply Current IDD2.5(00) CL = 0 pf; 00 MHz 4 25 ma Power Down IDD2.5PD CL = 0 pf 00 µa Supply Current Skew tcpu-pci2 VT =.5 V; VTL =.25 V ns Guaranteed by desin, not 00% tested in production. 7

8 ICS948-8 Electrical Characteristics - CPUCLK TA = 0-70C; VDD = 3.3 V +/-5%, VDDL = 2.5 V +/-5%; CL = 0-20 pf (unless otherwise stated) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Output Impedance RDSP2B VO = VDD*(0.5) Ω Output Impedance RDSN2B VO = VDD*(0.5) Ω Output Hih Voltae VOH2B IOH = -2.0 ma V Output Low Voltae VOL2B IOL = 2 ma V Output Hih Current IOH2B VOH =.7 V -4-9 ma Output Low Current IOL2B VOL = 0.7 V 9 37 ma Rise Time tr2b VOL = 0.4 V, VOH = 2.0 V.2.6 ns Fall Time tf2b VOH = 2.0 V, VOL = 0.4 V.6 ns Duty Cycle dt2b VT =.25 V % Skew tsk2b VT =.25 V ps Jitter, Cycle-to-cycle tjcyc-cyc2b VT =.25 V ps Jitter, One Sima tjs2b VT =.25 V ps Jitter, Absolute tjabs2b VT =.25 V ps Guaranteed by desin, not 00% tested in production. Electrical Characteristics - REF0 TA = 0-70C; VDD = VDDL = 3.3 V +/-5%; CL = 0-20 pf (unless otherwise stated) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Output Impedance RDSP5 VO = VDD*(0.5) Ohm Output Impedance RDSN5 VO = VDD*(0.5) Ohm Output Hih Voltae VOH5 IOH = -2 ma V Output Low Voltae VOL5 IOL = 9 ma V Output Hih Current IOH5 VOH = 2.0 V ma Output Low Current IOL5 VOL = 0.8 V 6 42 ma Rise Time tr5 VOL = 0.4 V, VOH = 2.4 V ns Fall Time tf5 VOH = 2.4 V, VOL = 0.4 V ns Duty Cycle dt5 VT =.5 V % Jitter, One Sima tjs5 VT =.5 V 3 % Jitter, Absolute tjabs5 VT =.5 V 3 5 % Guaranteed by desin, not 00% tested in production. 8

9 ICS948-8 Electrical Characteristics - PCICLK TA = 0-70C; VDD = VDDL = 3.3 V +/-5%; CL = 30 pf PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Output Impedance RDSP VO = VDD*(0.5) 2 55 Ω Output Impedance RDSN VO = VDD*(0.5) 2 55 Ω Output Hih Voltae VOH IOH = - ma V Output Low Voltae VOL IOL = 9.4 ma V Output Hih Current IOH VOH = 2.0 V ma Output Low Current IOL VOL = 0.8 V 6 57 ma Rise Time tr VOL = 0.4 V, VOH = 2.4 V. 2 ns Fall Time tf VOH = 2.4 V, VOL = 0.4 V.3 2 ns Duty Cycle dt VT =.5 V % Skew tsk VT =.5 V ps Jitter, One Sima tjs VT =.5 V 3 50 ps Jitter, Absolute tjabs VT =.5 V ps Guaranteed by desin, not 00% tested in production. 9

10 ICS948-8 General Layout Precautions: ) Use a round plane on the top layer of the PCB in all areas not used by traces. 2) Make all power traces and vias as wide as possible to lower inductance. Notes: All clock outputs should have series terminatin resistor. Not shown in all places to improve readibility of diaram 2 Optional EMI capacitor should be used on all CPU, SDRAM, and PCI outputs. 3 Optional crystal load capacitors are recommended. Capacitor Values: C, C2 : Crystal load values determined by user C3 : 00pF ceramic All unmarked capacitors are 0.0µF ceramic 0

11 ICS948-8 COMMON VARIATIONS D SYMBOL DIMENSIONS M IN. N OM. MAX. N M IN. N OM. MAX. A A A b c D See Variations E e BSC H L N See Variations Dimensions in inches Orderin Information ICS948F-8LF Example: ICS XXXX F - PPP LF Lead Free, RoHS Compliant (Optional) Pattern Number (2 or 3 diit number for parts with ROM code patterns) Packae Type F=SSOP Device Type (consists of 3 or 4 diit numbers) Prefix ICS, AV = Standard Device ICS reserves the riht to make chanes in the device data identified in this publication without further notice. ICS advises its customers to obtain the latest version of all device data to verify that any information bein relied upon by the customer is current and accurate.

12 ICS948-8 Revision History Rev. Issue Date Description Pae # C 2/2/2005 Added LF Orderin Information 2

ICS9148-32. Pentium/Pro TM System Clock Chip. Integrated Circuit Systems, Inc. General Description. Pin Configuration.

ICS9148-32. Pentium/Pro TM System Clock Chip. Integrated Circuit Systems, Inc. General Description. Pin Configuration. Integrated Circuit Systems, Inc. ICS948-32 Pentium/Pro TM System Clock Chip General Description The ICS948-32 is a Clock Synthesizer chip for Pentium and PentiumPro CPU based Desktop/Notebook systems that

More information

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET DATASHEET ICS650-44 Description The ICS650-44 is a spread spectrum clock synthesizer intended for video projector and digital TV applications. It generates three copies of an EMI optimized 50 MHz clock

More information

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS514 Description The ICS514 LOCO TM is the most cost effective way to generate a high-quality, high-frequency clock output from a 14.31818 MHz crystal or clock input. The name LOCO stands for

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features DATASHEET ICS280 Description The ICS280 field programmable spread spectrum clock synthesizer generates up to four high-quality, high-frequency clock outputs including multiple reference clocks from a low-frequency

More information

SPREAD SPECTRUM CLOCK GENERATOR. Features

SPREAD SPECTRUM CLOCK GENERATOR. Features DATASHEET ICS7152 Description The ICS7152-01, -02, -11, and -12 are clock generators for EMI (Electro Magnetic Interference) reduction (see below for frequency ranges and multiplier ratios). Spectral peaks

More information

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND DATASHEET IDT5P50901/2/3/4 Description The IDT5P50901/2/3/4 is a family of 1.8V low power, spread spectrum clock generators capable of reducing EMI radiation from an input clock. Spread spectrum technique

More information

1 TO 4 CLOCK BUFFER ICS551. Description. Features. Block Diagram DATASHEET

1 TO 4 CLOCK BUFFER ICS551. Description. Features. Block Diagram DATASHEET DATASHEET 1 TO 4 CLOCK BUFFER ICS551 Description The ICS551 is a low cost, high-speed single input to four output clock buffer. Part of IDT s ClockBlocks TM family, this is our lowest cost, small clock

More information

ICS650-01 SYSTEM PERIPHERAL CLOCK SOURCE. Description. Features. Block Diagram DATASHEET

ICS650-01 SYSTEM PERIPHERAL CLOCK SOURCE. Description. Features. Block Diagram DATASHEET DATASHEET ICS650-01 Description The ICS650-01 is a low-cost, low-jitter, high-performance clock synthesizer for system peripheral applications. Using analog/digital Phase-Locked Loop (PLL) techniques,

More information

Spread Spectrum Clock Generator

Spread Spectrum Clock Generator Spread Spectrum Clock Generator DESCRIPTION is a clock generator for EMI (Electro Magnetic Interference) reduction. The peak of unnecessary (EMI) can be attenuated by making the oscillation frequency slightly

More information

4 OUTPUT PCIE GEN1/2 SYNTHESIZER IDT5V41186

4 OUTPUT PCIE GEN1/2 SYNTHESIZER IDT5V41186 DATASHEET IDT5V41186 Recommended Applications 4 Output synthesizer for PCIe Gen1/2 General Description The IDT5V41186 is a PCIe Gen2 compliant spread-spectrum-capable clock generator. The device has 4

More information

SN28838 PAL-COLOR SUBCARRIER GENERATOR

SN28838 PAL-COLOR SUBCARRIER GENERATOR Solid-State Reliability Surface-Mount Package NS PACKAE (TOP VIEW) description The SN28838 is a monolithic integrated circuit designed to interface with the SN28837 PALtiming generator in order to generate

More information

2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06. Features

2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06. Features DATASHEET 2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the

More information

SN54HC157, SN74HC157 QUADRUPLE 2-LINE TO 1-LINE DATA SELECTORS/MULTIPLEXERS

SN54HC157, SN74HC157 QUADRUPLE 2-LINE TO 1-LINE DATA SELECTORS/MULTIPLEXERS SNHC, SNHC QUADRUPLE 2-LINE TO -LINE DATA SELECTORS/MULTIPLEXERS SCLSB DECEMBER 982 REVISED MAY 99 Package Options Include Plastic Small-Outline (D) and Ceramic Flat (W) Packages, Ceramic Chip Carriers

More information

Spread-Spectrum Crystal Multiplier DS1080L. Features

Spread-Spectrum Crystal Multiplier DS1080L. Features Rev 1; 3/0 Spread-Spectrum Crystal Multiplier General Description The is a low-jitter, crystal-based clock generator with an integrated phase-locked loop (PLL) to generate spread-spectrum clock outputs

More information

CD4027BMS. CMOS Dual J-K Master-Slave Flip-Flop. Pinout. Features. Functional Diagram. Applications. Description. December 1992

CD4027BMS. CMOS Dual J-K Master-Slave Flip-Flop. Pinout. Features. Functional Diagram. Applications. Description. December 1992 CD7BMS December 199 CMOS Dual J-K Master-Slave Flip-Flop Features Pinout High Voltage Type (V Rating) Set - Reset Capability CD7BMS TOP VIEW Static Flip-Flop Operation - Retains State Indefinitely with

More information

LOW POWER SPREAD SPECTRUM OSCILLATOR

LOW POWER SPREAD SPECTRUM OSCILLATOR LOW POWER SPREAD SPECTRUM OSCILLATOR SERIES LPSSO WITH SPREAD-OFF FUNCTION 1.0 110.0 MHz FEATURES + 100% pin-to-pin drop-in replacement to quartz and MEMS based XO + Low Power Spread Spectrum Oscillator

More information

PECL and LVDS Low Phase Noise VCXO (for 65-130MHz Fund Xtal) XIN XOUT N/C N/C CTRL VCON (0,0) OESEL (Pad #25) 1 (default)

PECL and LVDS Low Phase Noise VCXO (for 65-130MHz Fund Xtal) XIN XOUT N/C N/C CTRL VCON (0,0) OESEL (Pad #25) 1 (default) Reserved BUF BUF 62 mil OESEL^ Reserved Reserved PL520-30 FEATURES 65MHz to 130MHz Fundamental Mode Crystals. Output range (no PLL): 65MHz 130MHz (3.3V). 65MHz 105MHz (2.5V). Low Injection Power for crystal

More information

SN54HC191, SN74HC191 4-BIT SYNCHRONOUS UP/DOWN BINARY COUNTERS

SN54HC191, SN74HC191 4-BIT SYNCHRONOUS UP/DOWN BINARY COUNTERS Single Down/Up Count-Control Line Look-Ahead Circuitry Enhances Speed of Cascaded Counters Fully Synchronous in Count Modes Asynchronously Presettable With Load Control Package Options Include Plastic

More information

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 20400 (LED TYPES) EXAMINED BY : FILE NO. CAS-10184 ISSUE : DEC.01,1999 TOTAL PAGE : 7 APPROVED BY:

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 20400 (LED TYPES) EXAMINED BY : FILE NO. CAS-10184 ISSUE : DEC.01,1999 TOTAL PAGE : 7 APPROVED BY: EXAMINED BY : FILE NO. CAS-10184 APPROVED BY: EMERGING DISPLAY TECHNOLOGIES CORPORATION ISSUE : DEC.01,1999 TOTAL PAGE : 7 VERSION : 2 CUSTOMER ACCEPTANCE SPECIFICATIONS MODEL NO. : 20400 (LED TYPES) FOR

More information

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16400(LED TYPES) EXAMINED BY : FILE NO. CAS-10068 ISSUE : JAN.19,2000 TOTAL PAGE : 7 APPROVED BY:

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16400(LED TYPES) EXAMINED BY : FILE NO. CAS-10068 ISSUE : JAN.19,2000 TOTAL PAGE : 7 APPROVED BY: EXAMINED BY : FILE NO. CAS-10068 APPROVED BY: EMERGING DISPLAY TECHNOLOGIES CORPORATION ISSUE : JAN.19,2000 TOTAL PAGE : 7 VERSION : 3 CUSTOMER ACCEPTANCE SPECIFICATIONS MODEL NO. : 16400(LED TYPES) FOR

More information

Clock Generator Specification for AMD64 Processors

Clock Generator Specification for AMD64 Processors Clock Generator Specification for AMD64 Processors Publication # 24707 Revision: 3.08 Issue Date: September 2003 2002, 2003 Advanced Micro Devices, Inc. All rights reserved. The contents of this document

More information

Low Phase Noise XO (for HF Fund. and 3 rd O.T.) XIN XOUT N/C N/C OE CTRL N/C (0,0) Pad #9 OUTSEL

Low Phase Noise XO (for HF Fund. and 3 rd O.T.) XIN XOUT N/C N/C OE CTRL N/C (0,0) Pad #9 OUTSEL Reserved BUF BUF 62 mil Reserved Reserved FEATURES 100MHz to 200MHz Fund. or 3 rd OT Crystal. Output range: 100 200MHz (no multiplication). Available outputs: PECL, or LVDS. OESEL/OECTRL for both PECL

More information

Title: Low EMI Spread Spectrum Clock Oscillators

Title: Low EMI Spread Spectrum Clock Oscillators Title: Low EMI oscillators Date: March 3, 24 TN No.: TN-2 Page 1 of 1 Background Title: Low EMI Spread Spectrum Clock Oscillators Traditional ways of dealing with EMI (Electronic Magnetic Interference)

More information

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16290(LED TYPES) EXAMINED BY : FILE NO. CAS-10251 ISSUE : JUL.03,2001 TOTAL PAGE : 7

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16290(LED TYPES) EXAMINED BY : FILE NO. CAS-10251 ISSUE : JUL.03,2001 TOTAL PAGE : 7 EXAMINED BY : FILE NO. CAS-10251 EMERGING DISPLAY ISSUE : JUL.03,2001 APPROVED BY: TECHNOLOGIES CORPORATION TOTAL PAGE : 7 VERSION : 1 CUSTOMER ACCEPTANCE SPECIFICATIONS MODEL NO. : 16290(LED TYPES) FOR

More information

DSC1001. Low-Power Precision CMOS Oscillator 1.8~3.3V. Features. General Description. Benefits. Block Diagram

DSC1001. Low-Power Precision CMOS Oscillator 1.8~3.3V. Features. General Description. Benefits. Block Diagram DSC.8~.V LowPower Precision CMOS Oscillator General Description The DSC is a silicon MEMS based CMOS oscillator offering excellent jitter and stability performance over a wide range of supply voltages

More information

Photolink- Fiber Optic Receiver PLR135/T1

Photolink- Fiber Optic Receiver PLR135/T1 Features High PD sensitivity optimized for red light Data : NRZ signal Low power consumption for extended battery life Built-in threshold control for improved noise Margin The product itself will remain

More information

How To Power A Power Supply On A Microprocessor (Mii) Or Microprocessor Power Supply (Miio) (Power Supply) (Microprocessor) (Miniio) Or Power Supply Power Control (Power) (Mio) Power Control

How To Power A Power Supply On A Microprocessor (Mii) Or Microprocessor Power Supply (Miio) (Power Supply) (Microprocessor) (Miniio) Or Power Supply Power Control (Power) (Mio) Power Control November 200 HI-010, HI-110 CMOS High oltage Display Driver GENERAL DESCRIPTION PIN CONFIGURATION (Top iew) The HI-010 & HI-110 high voltage display drivers are constructed of MOS P Channel and N Channel

More information

ICS950405. AMD - K8 System Clock Chip. Integrated Circuit Systems, Inc.

ICS950405. AMD - K8 System Clock Chip. Integrated Circuit Systems, Inc. Integrated Circuit Systems, Inc. ICS9545 AMD - K8 System Clock Chip Recommended Application: AMD K8 System Clock with AMD, VIA or ALI Chipset Output Features: 2 - Differential pair push-pull CPU clocks

More information

PI5C3244 12345678901234567890123456789012123456789012345678901234567890121234567890123456789012345678901212345678901234567890123456789012123456789012

PI5C3244 12345678901234567890123456789012123456789012345678901234567890121234567890123456789012345678901212345678901234567890123456789012123456789012 Features: Near-Zero propagation delay 5-ohm switches connect inputs to outputs when enabled Direct bus connection when switches are ON Ultra Low Quiescent Power (0.2µA Typical) Ideally suited for notebook

More information

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package.

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package. PRESETTABLE BCD/DECADE UP/DOWN COUNTERS PRESETTABLE 4-BIT BINARY UP/DOWN COUNTERS The SN54/74LS90 is a synchronous UP/DOWN BCD Decade (842) Counter and the SN54/74LS9 is a synchronous UP/DOWN Modulo-6

More information

XR-T5683A PCM Line Interface Chip

XR-T5683A PCM Line Interface Chip ...the analog plus company TM XR-T5683A PCM Line Interface Chip FEATURES Single 5V Supply Receiver Input Can Be Either Balanced or Unbalanced Up To 8.448Mbps Operation In Both Tx and Rx Directions TTL

More information

V CC TOP VIEW. f SSO = 20MHz to 134MHz (DITHERED)

V CC TOP VIEW. f SSO = 20MHz to 134MHz (DITHERED) 19-013; Rev 1; 10/11 0MHz to 13MHz Spread-Spectrum General Description The is a spread-spectrum clock modulator IC that reduces EMI in high clock-frequency-based, digital electronic equipment. Using an

More information

HI-3182, HI-3183, HI-3184 HI-3185, HI-3186, HI-3188

HI-3182, HI-3183, HI-3184 HI-3185, HI-3186, HI-3188 March 2008 GENERAL DESCRIPTION HI-382, HI-383, HI-384 HI-385, HI-386, HI-388 ARINC 429 Differential Line Driver PIN CONFIGURATION (Top View) The HI-382, HI-383, HI-384, HI-385, HI-386 and HI-388 bus interface

More information

Spread Spectrum Clock Generator AK8126A

Spread Spectrum Clock Generator AK8126A Features Output Frequency Range: 22.5MHz 32MHz, 45 MHz 64MHz 90MHz 128MHz Configurable Spread Spectrum Modulation: - AKEMD s Original Spread Spectrum Profile - Modulation Ratio: Center Spread: ±0.25%,

More information

Super high-speed, and high density gate array Dual power supply operation Raw gates from 18K to 216K gates (Sea of gates) DESCRIPTION

Super high-speed, and high density gate array Dual power supply operation Raw gates from 18K to 216K gates (Sea of gates) DESCRIPTION PF77- SLA3 Series High Speed Gate Array Wide Voltage Operation Products Super high-speed, and high density gate array Dual power supply operation Raw gates from K to K gates (Sea of gates) DESCRIPTION

More information

Fairchild Solutions for 133MHz Buffered Memory Modules

Fairchild Solutions for 133MHz Buffered Memory Modules AN-5009 Fairchild Semiconductor Application Note April 1999 Revised December 2000 Fairchild Solutions for 133MHz Buffered Memory Modules Fairchild Semiconductor provides several products that are compatible

More information

USB to serial chip CH340

USB to serial chip CH340 The DataSheet of CH340 (the first) 1 1. Introduction USB to serial chip CH340 English DataSheet Version: 1D http://wch.cn CH340 is a USB bus convert chip and it can realize USB convert to serial interface,

More information

SN54ALS191A, SN74ALS191A SYNCHRONOUS 4-BIT UP/DOWN BINARY COUNTERS

SN54ALS191A, SN74ALS191A SYNCHRONOUS 4-BIT UP/DOWN BINARY COUNTERS Single own/ Up Count-Control Line Look-Ahead Circuitry Enhances Speed of Cascaded Counters Fully Synchronous in Count Modes Asynchronously Presettable With Load Control Package Optio Include Plastic Small-Outline

More information

High Precision TCXO / VCTCXO Oscillators

High Precision TCXO / VCTCXO Oscillators Available at Digi-Key** www.digikey.com High Precision TCXO / VCTCXO Oscillators 2111 Comprehensive Drive Phone: 60-81- 722 Fax: 60-81- 00 US Headquarters: 60-81-722 European Headquarters: +-61-72221 Description:

More information

SEMICONDUCTOR TECHNICAL DATA

SEMICONDUCTOR TECHNICAL DATA SEMICONDUCTOR TECHNICAL DATA The B Series logic gates are constructed with P and N channel enhancement mode devices in a single monolithic structure (Complementary MOS). Their primary use is where low

More information

Push-Pull FET Driver with Integrated Oscillator and Clock Output

Push-Pull FET Driver with Integrated Oscillator and Clock Output 19-3662; Rev 1; 5/7 Push-Pull FET Driver with Integrated Oscillator General Description The is a +4.5V to +15V push-pull, current-fed topology driver subsystem with an integrated oscillator for use in

More information

DS1220Y 16k Nonvolatile SRAM

DS1220Y 16k Nonvolatile SRAM 19-5579; Rev 10/10 NOT RECOENDED FOR NEW DESIGNS 16k Nonvolatile SRAM www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power

More information

IDT6116SA IDT6116LA. CMOS Static RAM 16K (2K x 8-Bit)

IDT6116SA IDT6116LA. CMOS Static RAM 16K (2K x 8-Bit) CMOS Static RAM 16K (2K x 8-Bit) IDT6116SA IDT6116LA Features High-speed access and chip select times Military: 2/2/3/4//7/9/12/1 (max.) Industrial: 2/2/3/4 (max.) Commercial: 1/2/2/3/4 (max.) Low-power

More information

LOGIC DIAGRAM PIN ASSIGNMENT

LOGIC DIAGRAM PIN ASSIGNMENT TECNICAL DATA Tone Ringer IL2411N/D The IL2411 is a bipolar integrated circuit designed for telephone bell replacement. Designed for Telephone Bell Replacement Low Current Drain Adjustable 2-frequency

More information

SN54F157A, SN74F157A QUADRUPLE 2-LINE TO 1-LINE DATA SELECTORS/MULTIPLEXERS

SN54F157A, SN74F157A QUADRUPLE 2-LINE TO 1-LINE DATA SELECTORS/MULTIPLEXERS SNFA, SNFA QUADRUPLE -LINE TO -LINE DATA SELECTORS/MULTIPLEXERS SDFS0A MARCH 8 REVISED OCTOBER Buffered Inputs and Outputs Package Optio Include Plastic Small-Outline Packages, Ceramic Chip Carriers, and

More information

TSL250, TSL251, TLS252 LIGHT-TO-VOLTAGE OPTICAL SENSORS

TSL250, TSL251, TLS252 LIGHT-TO-VOLTAGE OPTICAL SENSORS TSL50, TSL5, TLS5 SOES004C AUGUST 99 REVISED NOVEMBER 995 Monolithic Silicon IC Containing Photodiode, Operational Amplifier, and Feedback Components Converts Light Intensity to Output Voltage High Irradiance

More information

Low-Jitter I 2 C/SPI Programmable Dual CMOS Oscillator

Low-Jitter I 2 C/SPI Programmable Dual CMOS Oscillator eet General Description The DSC2111 and series of programmable, highperformance dual CMOS oscillators utilizes a proven silicon MEMS technology to provide excellent jitter and stability while incorporating

More information

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Six

More information

DS1225Y 64k Nonvolatile SRAM

DS1225Y 64k Nonvolatile SRAM DS1225Y 64k Nonvolatile SRAM www.maxim-ic.com FEATURES years minimum data retention in the absence of external power Data is automatically protected during power loss Directly replaces 2k x 8 volatile

More information

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption:

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption: Low-power single CMOS timer Description Datasheet - production data The TS555 is a single CMOS timer with very low consumption: Features SO8 (plastic micropackage) Pin connections (top view) (I cc(typ)

More information

POWER-VOLTAGE MONITORING IC WITH WATCHDOG TIMER

POWER-VOLTAGE MONITORING IC WITH WATCHDOG TIMER FUJITSU SEMICONDUCTOR DATA SHEET DS04-27402-2E ASSP POWER-VOLTAGE MONITORING IC WITH WATCHDOG TIMER MB3793-42/30 DESCRIPTION The MB3793 is an integrated circuit to monitor power voltage; it incorporates

More information

AS2815. 1.5A Low Dropout Voltage Regulator Adjustable & Fixed Output, Fast Response

AS2815. 1.5A Low Dropout Voltage Regulator Adjustable & Fixed Output, Fast Response 1.5A Low Dropout oltage Regulator Adjustable & Fixed Output, Fast Response FEATURES Adjustable Output Down To 1.2 Fixed Output oltages 1.5, 2.5, 3.3, 5.0 Output Current of 1.5A Low Dropout oltage 1.1 Typ.

More information

CMOS PARALLEL-TO-SERIAL FIFO 256 x 16, 512 x 16, 1,024 x 16

CMOS PARALLEL-TO-SERIAL FIFO 256 x 16, 512 x 16, 1,024 x 16 CMOS PARALLEL-TO-SERIAL FIFO IDT72105 IDT72115 IDT72125 Integrated Device Technology, Inc. FEATURES: 25ns parallel port access time, 35ns cycle time 45MHz serial output shift rate Wide x16 organization

More information

LOW-VOLTAGE DUAL 1-OF-4 MULTIPLEXER/ DEMULTIPLEXER

LOW-VOLTAGE DUAL 1-OF-4 MULTIPLEXER/ DEMULTIPLEXER LOW-VOLTAGE DUAL 1-OF-4 MULTIPLEXER/ DEMULTIPLEXER IDT74CBTLV3253 FEATURES: Functionally equivalent to QS3253 5Ω bi-directional switch connection between two ports Isolation under power-off conditions

More information

2/4, 4/5/6 CLOCK GENERATION CHIP

2/4, 4/5/6 CLOCK GENERATION CHIP 2/4, 4/5/6 CLOCK GENERATION CHIP FEATURES 3.3V and 5V power supply option 50ps output-to-output skew 50% duty cycle outputs Synchronous enable/disable Master Reset for synchronization Internal 75KΩ input

More information

FEATURES DESCRIPTION APPLICATIONS BLOCK DIAGRAM. PT2248 Infrared Remote Control Transmitter

FEATURES DESCRIPTION APPLICATIONS BLOCK DIAGRAM. PT2248 Infrared Remote Control Transmitter Infrared Remote Control Transmitter DESCRIPTION PT2248 is an infrared remote control transmitter utilizing CMOS Technology. It is capable of 18 functions and a total of 75 commands. Single-shot and continuous

More information

DS2187 Receive Line Interface

DS2187 Receive Line Interface Receive Line Interface www.dalsemi.com FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks Extracts clock and data from twisted pair or coax Meets requirements of PUB

More information

Real Time Clock Module with I2C Bus

Real Time Clock Module with I2C Bus Moisture Seitivity Level: MSL=1 FEATURES: With state-of-the-art RTC Technology by Micro Crystal AG RTC module with built-in crystal oscillating at 32.768 khz 3 timekeeping current at 3 Timekeeping down

More information

DS1220Y 16k Nonvolatile SRAM

DS1220Y 16k Nonvolatile SRAM Not Recommended for New Design DS122Y 16k Nonvolatile SRAM www.maxim-ic.com FEATURES years minimum data retention in the absence of external power Data is automatically protected during power loss Directly

More information

css Custom Silicon Solutions, Inc.

css Custom Silicon Solutions, Inc. css Custom Silicon Solutions, Inc. GENERAL PART DESCRIPTION The is a micropower version of the popular timer IC. It features an operating current under µa and a minimum supply voltage of., making it ideal

More information

SPECIFICATION PRODUCT NAME: VOLTAGE CONTROLLED CRYSTAL OSCILLATOR CSX-750V

SPECIFICATION PRODUCT NAME: VOLTAGE CONTROLLED CRYSTAL OSCILLATOR CSX-750V Messrs. PAGE 1/10 DATE NO. SPECIFICATION PRODUCT NAME: VOLTAGE CONTROLLED CRYSTAL OSCILLATOR TYPE: CSX-750V FREQUENCY: MHz PARTS NO.: CITIZEN WATCH CO., LTD. 1-12, Honcho 6-chome, Tanashi-shi, Tokyo 188-8511

More information

HT9170 DTMF Receiver. Features. General Description. Selection Table

HT9170 DTMF Receiver. Features. General Description. Selection Table DTMF Receiver Features Operating voltage: 2.5V~5.5V Minimal external components No external filter is required Low standby current (on power down mode) General Description The HT9170 series are Dual Tone

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

This application note is written for a reader that is familiar with Ethernet hardware design.

This application note is written for a reader that is familiar with Ethernet hardware design. AN18.6 SMSC Ethernet Physical Layer Layout Guidelines 1 Introduction 1.1 Audience 1.2 Overview SMSC Ethernet products are highly-integrated devices designed for 10 or 100 Mbps Ethernet systems. They are

More information

VITESSE SEMICONDUCTOR CORPORATION. 16:1 Multiplexer. Timing Generator. CMU x16

VITESSE SEMICONDUCTOR CORPORATION. 16:1 Multiplexer. Timing Generator. CMU x16 Features 16:1 2.488 Gb/s Multiplexer Integrated PLL for Clock Generation - No External Components 16-bit Wide, Single-ended, ECL 100K Compatible Parallel Data Interface 155.52 MHz Reference Clock Frequency

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

DS1232LP/LPS Low Power MicroMonitor Chip

DS1232LP/LPS Low Power MicroMonitor Chip DSLP/LPS Low Power MicroMonitor Chip www.dalsemi.com FEATURES Super-low power version of DS 50 µa quiescent current Halts and restarts an out-of-control microprocessor Automatically restarts microprocessor

More information

TSL213 64 1 INTEGRATED OPTO SENSOR

TSL213 64 1 INTEGRATED OPTO SENSOR TSL 64 INTEGRATED OPTO SENSOR SOES009A D4059, NOVEMBER 99 REVISED AUGUST 99 Contains 64-Bit Static Shift Register Contains Analog Buffer With Sample and Hold for Analog Output Over Full Clock Period Single-Supply

More information

Product Datasheet P1110 915 MHz RF Powerharvester Receiver

Product Datasheet P1110 915 MHz RF Powerharvester Receiver DESCRIPTION The Powercast P1110 Powerharvester receiver is an RF energy harvesting device that converts RF to DC. Housed in a compact SMD package, the P1110 receiver provides RF energy harvesting and power

More information

Features. Dimensions

Features. Dimensions Description With an IDE interface and strong data retention ability, 40-Pin IDE Flash Modules are ideal for use in the harsh environments where Industrial PCs, Set-Top Boxes, etc. are used. Placement Features

More information

PS323. Precision, Single-Supply SPST Analog Switch. Features. Description. Block Diagram, Pin Configuration, and Truth Table. Applications PS323 PS323

PS323. Precision, Single-Supply SPST Analog Switch. Features. Description. Block Diagram, Pin Configuration, and Truth Table. Applications PS323 PS323 Features ÎÎLow On-Resistance (33-ohm typ.) Minimizes Distortion and Error Voltages ÎÎLow Glitching Reduces Step Errors in Sample-and-Holds. Charge Injection, 2pC typ. ÎÎSingle-Supply Operation (+2.5V to

More information

Spread Spectrum Clock Generator

Spread Spectrum Clock Generator Spread Spectrum Clock Generator Features Generates a 1x (PCS3P5811), x (PCS3P581) and 4x() low EMI spread spectrum clock of the input frequency Provides up to 15dB of EMI suppression Input Frequency: 4MHz

More information

Features. Dimensions

Features. Dimensions Description With an IDE interface and strong data retention ability, 40-Pin IDE Flash Modules are ideal for use in the harsh environments where Industrial PCs, Set-Top Boxes, etc. are used. Placement Features

More information

LDS8720. 184 WLED Matrix Driver with Boost Converter FEATURES APPLICATION DESCRIPTION TYPICAL APPLICATION CIRCUIT

LDS8720. 184 WLED Matrix Driver with Boost Converter FEATURES APPLICATION DESCRIPTION TYPICAL APPLICATION CIRCUIT 184 WLED Matrix Driver with Boost Converter FEATURES High efficiency boost converter with the input voltage range from 2.7 to 5.5 V No external Schottky Required (Internal synchronous rectifier) 250 mv

More information

Features. Dimensions

Features. Dimensions Description With an IDE interface and strong data retention ability, 44-Pin IDE Flash Modules are ideal for use in the harsh environments where Industrial PCs, Set-Top Boxes, etc. are used. Placement Features

More information

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2)

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2) Features General Description Wide supply Voltage range: 2.0V to 36V Single or dual supplies: ±1.0V to ±18V Very low supply current drain (0.4mA) independent of supply voltage Low input biasing current:

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

UTC UNISONIC TECHNOLOGIES CO. LTD 1 LINEAR INTEGRATED CIRCUIT 7CH DARLINGTON SINK DRIVER

UTC UNISONIC TECHNOLOGIES CO. LTD 1 LINEAR INTEGRATED CIRCUIT 7CH DARLINGTON SINK DRIVER kω UTC ULN 7CH DARLINGTON SINK DRIVER DESCRIPTION The UTC ULN is high-voltage, high-current darlington drivers comprised of seven NPN darlingto pairs. All units feature integral clamp diodes for switching

More information

VT-802 Temperature Compensated Crystal Oscillator

VT-802 Temperature Compensated Crystal Oscillator T-802 Temperature Compensated Crystal Oscillator T-802 Description ectron s T-802 Temperature Compensated Crystal Oscillator (TCXO) is a quartz stabilized, CMOS output, analog temperature compensated oscillator,

More information

DATA SHEET. TDA1543 Dual 16-bit DAC (economy version) (I 2 S input format) INTEGRATED CIRCUITS

DATA SHEET. TDA1543 Dual 16-bit DAC (economy version) (I 2 S input format) INTEGRATED CIRCUITS INTEGRATED CIRCUITS DATA SHEET File under Integrated Circuits, IC01 February 1991 FEATURES Low distortion 16-bit dynamic range 4 oversampling possible Single 5 V power supply No external components required

More information

Data Sheet. ACPL-077L Low Power 3.3 V / 5 V High Speed CMOS Optocoupler Design for System Level Reliability. Description. Features. Functional Diagram

Data Sheet. ACPL-077L Low Power 3.3 V / 5 V High Speed CMOS Optocoupler Design for System Level Reliability. Description. Features. Functional Diagram ACPL-077L Low Power 3.3 V / 5 V High Speed CMOS Optocoupler Design for System Level Reliability Data Sheet Lead (Pb) Free RoHS 6 fully compliant RoHS 6 fully compliant options available; -xxxe denotes

More information

High Accuracy, Ultralow IQ, 1 A, anycap Low Dropout Regulator ADP3338

High Accuracy, Ultralow IQ, 1 A, anycap Low Dropout Regulator ADP3338 High Accuracy, Ultralow IQ, 1 A, anycap Low Dropout Regulator ADP3338 FEATURES High accuracy over line and load: ±.8% @ 25 C, ±1.4% over temperature Ultralow dropout voltage: 19 mv (typ) @ 1 A Requires

More information

HCC4541B HCF4541B PROGRAMMABLE TIMER

HCC4541B HCF4541B PROGRAMMABLE TIMER HCC4541B HCF4541B PROGRAMMABLE TIMER 16 STAGE BINARI COUNTER LOW SYMMETRICAL OUTPUT RESISTANCE, TYPICALLY 100 OHM AT DD = 15 OSCILLATOR FREQUENCY RANGE : DC TO 100kHz AUTO OR MASTER RESET DISABLES OSCIL-

More information

DALLAS DS1233 Econo Reset. BOTTOM VIEW TO-92 PACKAGE See Mech. Drawings Section on Website

DALLAS DS1233 Econo Reset. BOTTOM VIEW TO-92 PACKAGE See Mech. Drawings Section on Website 5V EconoReset www.maxim-ic.com FEATURES Automatically restarts microprocessor after power failure Monitors pushbutton for external override Internal circuitry debounces pushbutton switch Maintains reset

More information

Symbol Parameters Units Frequency Min. Typ. Max. 850 MHz 14.8 16.3 17.8

Symbol Parameters Units Frequency Min. Typ. Max. 850 MHz 14.8 16.3 17.8 Product Description Sirenza Microdevices SGC-689Z is a high performance SiGe HBT MMIC amplifier utilizing a Darlington configuration with a patented active-bias network. The active bias network provides

More information

FEATURES DESCRIPTION APPLICATIONS BLOCK DIAGRAM. PT2272 Remote Control Decoder

FEATURES DESCRIPTION APPLICATIONS BLOCK DIAGRAM. PT2272 Remote Control Decoder Remote Control Decoder DESCRIPTION PT2272 is a remote control decoder paired with PT2262 utilizing CMOS Technology. It has 12-bit of tri-state address pins providing a maximum of 531,441 (or 312) address

More information

INTEGRATED CIRCUITS. 74LVC08A Quad 2-input AND gate. Product specification IC24 Data Handbook. 1997 Jun 30

INTEGRATED CIRCUITS. 74LVC08A Quad 2-input AND gate. Product specification IC24 Data Handbook. 1997 Jun 30 INTEGRATED CIRCUITS IC24 Data Handbook 1997 Jun 30 FEATURES Wide supply voltage range of 1.2 V to 3.6 V In accordance with JEDEC standard no. 8-1A Inputs accept voltages up to 5.5 V CMOS low power consumption

More information

OLF500: High CMR, High-Speed Logic Gate Hermetic Surface Mount Optocoupler

OLF500: High CMR, High-Speed Logic Gate Hermetic Surface Mount Optocoupler TM DATA SHEET OLF500: High CMR, High-Speed Logic Gate Hermetic Surface Mount Optocoupler Features Hermetic SMT flat-pack package Electrical parameters guaranteed over 55 C to +125 C ambient temperature

More information

HCC/HCF4027B DUAL-J-K MASTER-SLAVE FLIP-FLOP

HCC/HCF4027B DUAL-J-K MASTER-SLAVE FLIP-FLOP DUAL-J-K MASTER-SLAVE FLIP-FLOP. SET-RESET CAPABILITY STATIC FLIP-FLOP OPERATION - RETAINS STATE INDEFINITELY WITH CLOCK LEVEL EITHER HIGH OR LOW MEDIUM SPEED OPERATION - 16MHz (typ. clock toggle rate

More information

INTEGRATED CIRCUITS DATA SHEET. TDA7000 FM radio circuit. Product specification File under Integrated Circuits, IC01

INTEGRATED CIRCUITS DATA SHEET. TDA7000 FM radio circuit. Product specification File under Integrated Circuits, IC01 INTEGRATED CIRCUITS DATA SHEET File under Integrated Circuits, IC01 May 1992 GENERAL DESCRIPTION The is a monolithic integrated circuit for mono FM portable radios, where a minimum on peripheral components

More information

If there is any doubt about the results, measurement shall be made within the following limits : Ambient temperature 25±3 Relative humidity 40%~70%

If there is any doubt about the results, measurement shall be made within the following limits : Ambient temperature 25±3 Relative humidity 40%~70% APPROVED : Tin SHEET : 2 of 10 Standard atmospheric conditions Unless otherwise specified, the standard range of atmospheric conditions for making measurement and tests are as follow : Ambient temperature

More information

High Speed, Low Cost, Triple Op Amp ADA4861-3

High Speed, Low Cost, Triple Op Amp ADA4861-3 High Speed, Low Cost, Triple Op Amp ADA486-3 FEATURES High speed 73 MHz, 3 db bandwidth 625 V/μs slew rate 3 ns settling time to.5% Wide supply range: 5 V to 2 V Low power: 6 ma/amplifier. db flatness:

More information

LC898300XA. Functions Automatic adjustment to the individual resonance frequency Automatic brake function Initial drive frequency adjustment function

LC898300XA. Functions Automatic adjustment to the individual resonance frequency Automatic brake function Initial drive frequency adjustment function Ordering number : A2053 CMOS LSI Linear Vibrator Driver IC http://onsemi.com Overview is a Linear Vibrator Driver IC for a haptics and a vibrator installed in mobile equipments. The best feature is it

More information

Rail-to-Rail, High Output Current Amplifier AD8397

Rail-to-Rail, High Output Current Amplifier AD8397 Rail-to-Rail, High Output Current Amplifier AD8397 FEATURES Dual operational amplifier Voltage feedback Wide supply range from 3 V to 24 V Rail-to-rail output Output swing to within.5 V of supply rails

More information

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features.

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features. Features High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Lead-Free SOIC-16 Plastic Package Halogen-Free Green Mold Compound

More information

MicroMag3 3-Axis Magnetic Sensor Module

MicroMag3 3-Axis Magnetic Sensor Module 1008121 R01 April 2005 MicroMag3 3-Axis Magnetic Sensor Module General Description The MicroMag3 is an integrated 3-axis magnetic field sensing module designed to aid in evaluation and prototyping of PNI

More information

High-Speed, Low r ON, SPST Analog Switch (1-Bit Bus Switch)

High-Speed, Low r ON, SPST Analog Switch (1-Bit Bus Switch) High-Speed, Low r ON, SPST Analog Switch (1-Bit Bus Switch) DG2301 ishay Siliconix DESCRIPTION The DG2301 is a high-speed, 1-bit, low power, TTLcompatible bus switch. Using sub-micron CMOS technology,

More information

The FT6x06 series ICs include FT6206 /FT6306, the difference of their specifications will be listed individually in this datasheet.

The FT6x06 series ICs include FT6206 /FT6306, the difference of their specifications will be listed individually in this datasheet. FT6x06 Self-Capacitive Touch Panel Controller INTRODUCTION The FT6x06 Series ICs are single-chip capacitive touch panel controller ICs with a built-in 8 bit enhanced Micro-controller unit (MCU).They adopt

More information

MM74HC4538 Dual Retriggerable Monostable Multivibrator

MM74HC4538 Dual Retriggerable Monostable Multivibrator MM74HC4538 Dual Retriggerable Monostable Multivibrator General Description The MM74HC4538 high speed monostable multivibrator (one shots) is implemented in advanced silicon-gate CMOS technology. They feature

More information