Digital Logic Elements, Clock, and Memory Elements

Size: px
Start display at page:

Download "Digital Logic Elements, Clock, and Memory Elements"

Transcription

1 Physics 333 Experiment #9 Fall 999 Digital Logic Elements, Clock, and Memory Elements Purpose This experiment introduces the fundamental circuit elements of digital electronics. These include a basic set of three LOGIC GTES which suffice to build anything digital; the 555 TIMER as a source of logic signals, and two types of memory element. oolean lgebra, the mathematics of two-valued variables, will be used to design digital circuits. Introduction Most physical quantities can assume any value within some continuous range; this value varies with time in a dynamic process. The output voltage of a transducer that observes it will change with time in an analogous way. Such continuous signals, V = V(t), are called analog signals, and circuits which preserve the information in this form, such as linear amplifiers and sine-wave oscillators, are collectively known as nalog Electronics. In contrast to this, the voltages in digital circuits have only two states: HIGH and LOW. Information is conveyed by the pattern of HI and LO voltages. These may occur at the same time in a set of parallel wires (parallel or combinational logic); or as a time sequence of HIGH s and LOW s moving along a single wire (sequential logic). nalog information can be translated into digital form by an nalog-to-digital Converter (DC). set of N on/off values or ITS has 2N possible different values. If you try to represent a voltage, V, by a 7 bit sequence, your uncertainty will be about %, since there are 2 7 = 28 possible combinations of digital values. higher accuracy needs more digits or bits. Readings. D & H,.-.5, (Optional) (a) Horowitz and Hill Chapter 8. (b) rophy: Chapter 9 Digital Electronics. Pages : Digital logic, oolean lgebra, and Logic circuits. (c) TTL Cookbook, Don Lancaster, SMS (974). Experiment #9 9. Fall 999

2 Outline. Set up and test the -element LEDs as logic state indicators. 2. Verify the truth tables for the NND, NOR, and INVERT gates. Carry out the INVERT operation by making suitable connections first to a NND gate, then to a NOR gate. Thus you can dispense with the INVERT gates if only a few are needed. 3. Verify the truth table for an EXCLUSIVE OR (XOR) gate. Design, build, and test your own XOR circuit using only NND and NOR chips. 4. Design, build, and test a TTL Digital Clock using a 555 timer chip. Convert the clock to an electronic stop-watch. Use a NND gate to control the flow of pulses and the counter/timer to totalize the number of them in your measured interval. 5. Construct a RESET- SET (RS) memory element using two NOR gates. Derive the truth table by reasoning, then verify it with the LEDs. Demonstrate a complete memory cycle: Set, Store, Reset, Store, Set. Examine the effect of the illegal (S=, R=) for both possible previous states. 6. Construct an empirical truth table for the JK flip-flop directly from your observations with LEDs. Does it agree with the oolean expression for the output in Figure 9.5? With the oscilloscope, look at the toggling action of the flip-flop for clock pulses from your TIMER when J=K=. Theory - Electronic Logic and oolean lgebra Logic states The voltage in a digital circuit is allowed to be in only one of two states: HIGH and LOW. We usually abbreviate these as HI and LO. HI is taken to mean logical () or logical TRUE. LO is taken to mean logical () or logical FLSE. In the TTL logic family (see Fig. 9. on following page): ny voltage in the range 2.8 to 5. V is HI. ny voltage in the to.8 V is LO. ny voltage outside this range is undefined, and therefore illegal, except briefly during transitions. We will refer to HI as the 5 volt level, and LO as the volt level. Experiment #9 9.2 Fall 999

3 Logic operations and logic gates. The flow of digital signals is controlled by transistors which function as switches with just two states: OPEN and CLOSED. The state of a switch is controlled by a digital signal. The switch remains closed so long as a logical () signal is applied. logical () control signal keeps it open. Logic signals interact by means of GTES. The three fundamental gates ND, OR, and NOT, are named after the three fundamental operations of logic that they carry out. The ND and OR gates each have two inputs and one output. The output state is determined by the states of the two inputs. The function of each gate is defined by a TRUTH TLE, which specifies the output state for each possible combination of input states. The physical basis for the truth tables can be understood in terms of two switches. If the switches are in series, you get the ND function. Parallel switches perform the OR operation. The most common gates are shown in the lower table in Fig. 9.. bubble after a gate indicates NOT (the function evaluated). Thus, NND means NOT (ND). The EXCLUSIVE-OR (XOR) contains several basic gates that you will assemble in part 4 of the experiment to make a functional XOR circuit. The basic gates that we will use throughout the logic experiments are two-input NND and NOR, and INVERT. the NND and NOR are especially useful when DeMorgan s theorems are employed to simplify complex circuits (see discussion below). When several gates are combined to perform a complex logical operation, elegance and economy persuade one to use as few as possible. oolean lgebra, the mathematics of two valued variables, is the theoretical tool used to accomplish this circuit simplification. Experiment #9 9.3 Fall 999

4 Figure 9.. asic logic operations and gates. Volts 5. HIGH typical 3.5 V LOW TTL logic levels Transition from LOW to HIGH typical.4 V Time Logical States Logical = YES = TRUE = Switch closed = +5 V (TTL Logic) Logical = NO = FLSE = Switch opened = V (TTL) asic Logic Operation Operation Switches Condition that circuit is closed ND ( ND are Series closed) OR NOT Same as invert Parallel Different switch oolean Notation or ( OR is closed) + means open means closed NOT Symbol. + Truth Table. + Other Gates NND NOR XOR. + + =+ Experiment #9 9.4 Fall 999

5 oolean lgebra Fundamental laws We imagine a logical variable,, that takes on the values or. If = then = and if = then = Equality OR ND NOT + = = + = + = = = + = = = + = = Two oolean expressions are equal if and only if their truth tables are identical. ssociative Laws ( + ) + C = + + C ( )C = ( C) Distributive Laws ( ) ( + C) = + C Related identities: ( + ) = ( + ) = + ( + ) ( + C) = ( + C) DeMorgan s Theorems K = + +K + +K = K Example of Method of Proof: Here s an example of proving theorems by direct comparison of truth tables. We take on DeMorgan s first theorem for two variables, = + : + Experiment #9 9.5 Fall 999

6 The last columns of the truth tables are identical. Thus, the first theorem is proven for two variables. Examples of simplification: oolean algebra can be used to simplify logical expressions and reduce the number of gates required in a circuit. Here we show two ways to implement the expression, Y = + C: ) DIRECT IMPLEMENTTION using NOT, NOR, and NND C C ) SIMPLIFIED CIRCUIT Y = +C = +C (by identity #2) C = +C (by property of NOT) = (C) (by De Morgan's Law) Fig oolean simplification C C C +C Y = +C Y = +C Expressions with many input variables. In the next experiments, you will form logic expressions with up to six input variables using logic gates with two inputs each. Here are some examples that illustrate the use of the double complement i.e., =, with DeMorgan s Theorems for reducing expressions to form that can be implemented only with NND and NOR, thus reducing the types of gates needed. = = + CD =. CD = + CD C {D Y = CD + = + =. + + C + D = (+) + (C+D) Y { = (+). C (C+D) D Fig Reduction to NND and NOR via DeMorgan s Theorem. = ++C+D The above circuits are examples of combinatorial logic. The output appears almost immediately upon application of the inputs. The logic value of the output depends only upon the present-time combination of a number of parallel inputs and the arrangement of gates. The binary-decimal decoder in Experiment # is an example of combinatorial logic. Memory Elements and Flip Flops In Sequential Logic circuits the output depends upon previous values of the input signals as well as their present-time values. Such circuits necessarily include memory elements that store the Experiment #9 9.6 Fall 999

7 logic values of the earlier signals. The fundamental circuit is the RS memory element. The JK flip-flop possesses external controls over the input to an RS memory that lies at its core. RS (Reset-Set Memory) Element RS MEMORY R S Signals SET RESET time R S Circuit = R + P P = S + R S Symbol Truth Table S R P= Stays the same P = Disallowed Fig RS memory element. The truth table shows how the circuit remembers. Suppose that it is originally in a state with = and R=S=. positive pulse, S, at the input sets it into the state =, where it remains after S returns to zero. later pulse, R, on the other input resets the circuit to =, where it remains until the next S pulse. JK Flip Flops. (747) There are three kinds of input to the JK flip flop: data inputs J and K the clock input C the direct input CLR ( = clear) There are two outputs, and its complement. JK Flip Flop (747) C n n+ time Clock Input Data inputs Fig JK flip-flop description. J C K CLR Direct Input Outputs CLR C J K n+ n+ n n Stays the same ( = J) ( = J) n n Toggle mode anything lways oolean Expression: n+ = (CLR)(J n K n +J n K n n +J n K n n ) In the absence of a clock pulse, the output remains unchanged at the previously acquired value, n, which is independent of the present-time data inputs J and K. Only on arrival of a clock pulse, C, can the output change to a new value, n +. The value of n + depends on the J and K inputs just before the clock pulse in the way specified in the truth table. The change occurs at the Experiment #9 9.7 Fall 999

8 downward going trailing edge of the clock pulse, as indicated by the downward arrow in the truth table. The direct input, CLR, overrides the clock and data inputs. During normal operation, CLR =. t the moment CLR goes to zero, the output goes to zero and remains there so long as CLR =. ll these options are contained in the oolean expression in the figure. 555 Timer and digital clock DC supply 8 Control Voltage 5 Threshold 6 Trigger 2 Reset 4 Discharge 7 (a) lock diagram of "555" V+ 5 kω 5 kω 5 kω Upper Comparitor Lower Comp. Discharge switch R S Clear Output mplifier 3 Output (b) Pin layout GND TRIG OUT RST DIS THR YP Ground Figure Timer chip Experiment #9 9.8 Fall 999

9 (a) stable circuit (Digital Clock) +5V GND 2 TRIG Output 3 OUT 4 RST DIS THR YP uf R R VC C V (b) Component values Output High (charge time): T2 = (R+R)C ln2 Output Low (discharge): T = RC ln2 Period: T = T + T2 (c) Limiting Values Max R, R 3.3 MΩ Min R, R kω Min. C 5pf (d) Voltage outputs DC Volts V+.667 V+.333 V+ Pin 6 - Capacitor Voltage Vc Supply Voltage (5V) Threshold Level Trigger Level time t2 t DC Volts Pin 3 Output Voltage V+ C charges through R and R in series C discharges through R only Output is positive while C is charging Output is grounded while C is discharging time Figure 9.7 stable circuit using 555 Timer chip Problems. Enter in your lab book the circuit diagrams and truth tables of all the circuits you will test. 2. Prove DeMorgan s second theorem by comparing the truth table for both sides of the equation: + = Use the laws of oolean algebra (see discussion on following pages) to derive the following: Experiment #9 9.9 Fall 999

10 + = ( ) = + + = + 3. Design a circuit to perform the EXCLUSIVE OR function. Try to simplify the circuit so that you use the smallest possible number of NND and NOR gates. Show your oolean calculation. Check the result using truth tables. 4. Derive the truth table for a RS memory element made from two NOR gates. (See Fig. 9.4). Show the details of your derivation. 5. Design a KHz clock based on the type 555 TIMER chip. Make the low level pulses /4 period in length. rrange that the clock can also be made to run at Hz (for visual observation of LEDs) by substituting a larger capacitor. Predict the output for the NND gate in Fig. 9. for V = or 5 V (see Figs. 9.6 and 9.) 6. JK flip-flop with J=K= and CLR= is driven at the clock input by KHz pulses from the NND gate following the TIMER. Diagram the waveforms for the clock and the output on the same time scale. (See Fig. 9.5 and 9.). Experimental Details 74 Series TTL Chips Logic Levels. For the TTL family, logical is V and logical is 5 V, ideally. In practice, LOW is roughly.4 V and HIGH is 3.5 V. DIP Packages. DIP means dual-in-line arrangement of pins. This is the type of chip package that plugs into your circuit board. DUL chip means that there are two elements of the same kind in one package, UD means four and HEX means six. Straighten the pins gently before you plug into the board. Lever out with a screwdriver. Power supply. Check your power supply before connecting to the circuit board: Normal supply voltage: bsolute maximum: +5. V +5.5 V Current: Types 74, 742, 744: 2m per chip. Type 7486: 3m per chip. Output. The output from each individual gate can drive up to ten other TTL inputs. This is called the fan-out number. The output is delayed nsec after the input for the INV, NND, and NOR gates. The delay is 8 nsec for the EXCLUSIVE OR, and 25 nsec for the JK flip-flop. Experiment #9 9. Fall 999

11 Pin Layouts. Each chip has a dot or notch to indicate the ends at which pins and 4 are located. The pin numbers increase sequentially as you go counter-clockwise around the chip in a top view. In 4 pin chips, Pin 7 is always grounded ( V) and Pin 4 is always connected to the +5 V supply. Fig Pin arrangements for TTL chips. Suggestions Power Supply. Set the voltage to 5 V EFORE connecting to the circuit board. previous user may have left it on 5 V. The logic chips burn out around 6 V. If the voltage drops when you connect to the circuit, DO NOT TRY TO INCRESE V. Increase the current limit instead. Decoupling of Voltage Spikes: Fast voltage spikes originating from electrical machinery in the building, or from other chips on the board, can be transmitted through the power lines to your circuit board and/or other chips, and cause unwanted triggering of the flip flops. s a precaution, always mount a capacitor of at least. µf between the +5V line and ground on your circuit board at each chip. Data Records in the Logic Labs: For the experiments in the logic labs, write in your lab book the circuit, the oolean equation that expresses its function, and the predicted truth table beforehand. Enter the observed logical values of the outputs in an adjacent, but separate column. It is important to have the observed result along-side the predicted ones. Particular discrepancies can suggest where to look for the wiring errors or damaged gates. Logical inputs and observation of logical outputs with LEDs: Input logical values can be set by connecting wires from the gate inputs to either V (logical ) or 5 V (logical ). The logic level of the output can be observed using a light emitting diode (LED) which is connected Experiment #9 9. Fall 999

12 from the output to ground. The LED lights up when the output is +5 V and is off when the output on V. The cathode of the LED is grounded, and must always have a 47 Ω to 68 Ω resistor in series to limit the current and prevent burnout. bank of ten LEDs in a DIP package (type MV5764) is available. We suggest that you keep one bank of LEDs on your board throughout the logic experiments. The pin diagram is given below. LED Test Circuit Y= + LED ON OFF OFF OFF Fig LED test circuit. Y MV5764 Outputs from gates connect on this side. V is OFF, 5V is ON External resistors 47 to 68 ohms. The Experiment LED testing efore doing anything else, check that each LED lights up when the positive end is connected to the 5 V supply. If it fails to light, check the polarity. The truth tables in most parts of the experiment will be verified in this way. Truth tables for the TTL gates Verify the truth tables for the NND (74), NOR (742), and INVERT (744) gates, using the LED indicators. Connect a NND gate so that it performs the INVERT function. Do this for a NOR gate also. This trick will be convenient in effecting economies in complex circuits. Occasionally you will find a non-functioning gate. Label the chip immediately. Throw the complete chip into the trash if an instructor confirms your diagnosis. Remember however, that most problems arise from wiring mistakes. The EXCLUSIVE OR circuit Verify the truth tables for an EXCLUSIVE OR chip (7486). Now build and test the XOR circuit of your own design using only the NNDs and NORs. Experiment #9 9.2 Fall 999

13 The RS memory uild the RS memory from two NOR gates. Compare the observed truth table with your predicted table, using LED indicators. Demonstrate the memory property by going through a complete memory cycle: Set (R =, S = ), Store (, ), Reset (, ), Store (, ), Set (, ). Examine the effect of the illegal input (R =, S = ), for different initial states of the RS system. The TTL digital clock uild the KHz digital clock using a 555 Timer according to your design in problem 4. Verify with the oscilloscope that the frequency, the pulse length of 25 µsec, and the nominal 5 volt amplitude are approximately correct. Check that a suitable large capacitor placed in parallel with the existing one converts the clock to Hz. Set up a NND gate to control the transmission of clock pulses by means of a DC logical or control voltage. The output pulses for the NND should be positive. Convert to an electronic stopwatch, using the counter / timer and the front panel switch for start and stop. 555 Clock X Probe SCOPE CH. 4./ Trig. CH.. 5V V Panel Switch V Counter/ Timer Set to Totalize Fig. 9.. Digital clock and stop-watch. The JK Flip-flop. Construct an empirical truth table for the JK from your observations using the LED indicators. Since the output depends upon the previous state,, you will need to tabulate n + for both possible previous states, n = and n =. We suggest that you add a redundant column, n + 2, (see truth table in Fig. 9.5)to get a better feel for the behavior of the flip-flop. Experiment #9 9.3 Fall 999

14 Set CLR = and J = K =. Now drive the clock input of the JK with KHz pulses from your TIMER circuit. Use the oscilloscope to observe the clock input (positive pulses out of the NND gate), and the output,, of the JK. What happens when J = K =? 5V V 555 Clock Panel Switch Wires from 5V or V } J C K CLR 5V or V SCOPE CH.. CH. 4./ Trig. Fig. 9.. JK test circuit. Experiment #9 9.4 Fall 999

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

The components. E3: Digital electronics. Goals:

The components. E3: Digital electronics. Goals: E3: Digital electronics Goals: Basic understanding of logic circuits. Become familiar with the most common digital components and their use. Equipment: 1 st. LED bridge 1 st. 7-segment display. 2 st. IC

More information

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa Experiment # 9 Clock generator circuits & Counters Eng. Waleed Y. Mousa 1. Objectives: 1. Understanding the principles and construction of Clock generator. 2. To be familiar with clock pulse generation

More information

Lecture 8: Synchronous Digital Systems

Lecture 8: Synchronous Digital Systems Lecture 8: Synchronous Digital Systems The distinguishing feature of a synchronous digital system is that the circuit only changes in response to a system clock. For example, consider the edge triggered

More information

ARRL Morse Code Oscillator, How It Works By: Mark Spencer, WA8SME

ARRL Morse Code Oscillator, How It Works By: Mark Spencer, WA8SME The national association for AMATEUR RADIO ARRL Morse Code Oscillator, How It Works By: Mark Spencer, WA8SME This supplement is intended for use with the ARRL Morse Code Oscillator kit, sold separately.

More information

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop.

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. Objectives Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. describe how such a flip-flop can be SET and RESET. describe the disadvantage

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

3-Digit Counter and Display

3-Digit Counter and Display ECE 2B Winter 2007 Lab #7 7 3-Digit Counter and Display This final lab brings together much of what we have done in our lab experiments this quarter to construct a simple tachometer circuit for measuring

More information

Step Response of RC Circuits

Step Response of RC Circuits Step Response of RC Circuits 1. OBJECTIVES...2 2. REFERENCE...2 3. CIRCUITS...2 4. COMPONENTS AND SPECIFICATIONS...3 QUANTITY...3 DESCRIPTION...3 COMMENTS...3 5. DISCUSSION...3 5.1 SOURCE RESISTANCE...3

More information

Transistor Amplifiers

Transistor Amplifiers Physics 3330 Experiment #7 Fall 1999 Transistor Amplifiers Purpose The aim of this experiment is to develop a bipolar transistor amplifier with a voltage gain of minus 25. The amplifier must accept input

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

ECEN 1400, Introduction to Analog and Digital Electronics

ECEN 1400, Introduction to Analog and Digital Electronics ECEN 1400, Introduction to Analog and Digital Electronics Lab 4: Power supply 1 INTRODUCTION This lab will span two lab periods. In this lab, you will create the power supply that transforms the AC wall

More information

Operational Amplifier - IC 741

Operational Amplifier - IC 741 Operational Amplifier - IC 741 Tabish December 2005 Aim: To study the working of an 741 operational amplifier by conducting the following experiments: (a) Input bias current measurement (b) Input offset

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

A Digital Timer Implementation using 7 Segment Displays

A Digital Timer Implementation using 7 Segment Displays A Digital Timer Implementation using 7 Segment Displays Group Members: Tiffany Sham u2548168 Michael Couchman u4111670 Simon Oseineks u2566139 Caitlyn Young u4233209 Subject: ENGN3227 - Analogue Electronics

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

CS311 Lecture: Sequential Circuits

CS311 Lecture: Sequential Circuits CS311 Lecture: Sequential Circuits Last revised 8/15/2007 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

Lesson 12 Sequential Circuits: Flip-Flops

Lesson 12 Sequential Circuits: Flip-Flops Lesson 12 Sequential Circuits: Flip-Flops 1. Overview of a Synchronous Sequential Circuit We saw from last lesson that the level sensitive latches could cause instability in a sequential system. This instability

More information

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction Introduction Gates & Boolean lgebra Boolean algebra: named after mathematician George Boole (85 864). 2-valued algebra. digital circuit can have one of 2 values. Signal between and volt =, between 4 and

More information

Bipolar Transistor Amplifiers

Bipolar Transistor Amplifiers Physics 3330 Experiment #7 Fall 2005 Bipolar Transistor Amplifiers Purpose The aim of this experiment is to construct a bipolar transistor amplifier with a voltage gain of minus 25. The amplifier must

More information

Lab 11 Digital Dice. Figure 11.0. Digital Dice Circuit on NI ELVIS II Workstation

Lab 11 Digital Dice. Figure 11.0. Digital Dice Circuit on NI ELVIS II Workstation Lab 11 Digital Dice Figure 11.0. Digital Dice Circuit on NI ELVIS II Workstation From the beginning of time, dice have been used for games of chance. Cubic dice similar to modern dice date back to before

More information

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs.

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs. equential Logic o far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs. In sequential logic the output of the

More information

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.1 Objectives To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.2 Introduction Circuits for counting events are frequently used in computers and other digital

More information

1. Learn about the 555 timer integrated circuit and applications 2. Apply the 555 timer to build an infrared (IR) transmitter and receiver

1. Learn about the 555 timer integrated circuit and applications 2. Apply the 555 timer to build an infrared (IR) transmitter and receiver Electronics Exercise 2: The 555 Timer and its Applications Mechatronics Instructional Laboratory Woodruff School of Mechanical Engineering Georgia Institute of Technology Lab Director: I. Charles Ume,

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Flip-Flops, Registers, Counters, and a Simple Processor

Flip-Flops, Registers, Counters, and a Simple Processor June 8, 22 5:56 vra235_ch7 Sheet number Page number 349 black chapter 7 Flip-Flops, Registers, Counters, and a Simple Processor 7. Ng f3, h7 h6 349 June 8, 22 5:56 vra235_ch7 Sheet number 2 Page number

More information

2 : BISTABLES. In this Chapter, you will find out about bistables which are the fundamental building blocks of electronic counting circuits.

2 : BISTABLES. In this Chapter, you will find out about bistables which are the fundamental building blocks of electronic counting circuits. 2 : BITABLE In this Chapter, you will find out about bistables which are the fundamental building blos of electronic counting circuits. et-reset bistable A bistable circuit, also called a latch, or flip-flop,

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors.

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors. LM 358 Op Amp S k i l l L e v e l : I n t e r m e d i a t e OVERVIEW The LM 358 is a duel single supply operational amplifier. As it is a single supply it eliminates the need for a duel power supply, thus

More information

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Objectives: Analyze the operation of sequential logic circuits. Understand the operation of digital counters.

More information

RC Circuits and The Oscilloscope Physics Lab X

RC Circuits and The Oscilloscope Physics Lab X Objective RC Circuits and The Oscilloscope Physics Lab X In this series of experiments, the time constant of an RC circuit will be measured experimentally and compared with the theoretical expression for

More information

Frequency Response of Filters

Frequency Response of Filters School of Engineering Department of Electrical and Computer Engineering 332:224 Principles of Electrical Engineering II Laboratory Experiment 2 Frequency Response of Filters 1 Introduction Objectives To

More information

MM74HC4538 Dual Retriggerable Monostable Multivibrator

MM74HC4538 Dual Retriggerable Monostable Multivibrator MM74HC4538 Dual Retriggerable Monostable Multivibrator General Description The MM74HC4538 high speed monostable multivibrator (one shots) is implemented in advanced silicon-gate CMOS technology. They feature

More information

Pulse Width Modulation (PWM) LED Dimmer Circuit. Using a 555 Timer Chip

Pulse Width Modulation (PWM) LED Dimmer Circuit. Using a 555 Timer Chip Pulse Width Modulation (PWM) LED Dimmer Circuit Using a 555 Timer Chip Goals of Experiment Demonstrate the operation of a simple PWM circuit that can be used to adjust the intensity of a green LED by varying

More information

Operating Manual Ver.1.1

Operating Manual Ver.1.1 4 Bit Binary Ripple Counter (Up-Down Counter) Operating Manual Ver.1.1 An ISO 9001 : 2000 company 94-101, Electronic Complex Pardesipura, Indore- 452010, India Tel : 91-731- 2570301/02, 4211100 Fax: 91-731-

More information

Features. Applications

Features. Applications LM555 Timer General Description The LM555 is a highly stable device for generating accurate time delays or oscillation. Additional terminals are provided for triggering or resetting if desired. In the

More information

Chapter 3 Digital Basics

Chapter 3 Digital Basics Chapter 3 Digital asics We conclude our review of basic concepts with a survey of topics from digital electronics. We confine our attention to aspects that are important in the understanding of simple

More information

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder FORDHAM UNIVERSITY CISC 3593 Fordham College Lincoln Center Computer Organization Dept. of Computer and Info. Science Spring, 2011 Lab 2 The Full-Adder 1 Introduction In this lab, the student will construct

More information

Build A Video Switcher. Reprinted with permission from Electronics Now Magazine September 1997 issue

Build A Video Switcher. Reprinted with permission from Electronics Now Magazine September 1997 issue Build A Video Switcher Reprinted with permission from Electronics Now Magazine September 1997 issue Copyright Gernsback Publications, Inc.,1997 BUILD A VIDEO SWITCHER FRANK MONTEGARI Watch several cameras

More information

Digital circuits make up all computers and computer systems. The operation of digital circuits is based on

Digital circuits make up all computers and computer systems. The operation of digital circuits is based on Digital Logic Circuits Digital circuits make up all computers and computer systems. The operation of digital circuits is based on Boolean algebra, the mathematics of binary numbers. Boolean algebra is

More information

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS Objective In this experiment you will study the i-v characteristics of an MOS transistor. You will use the MOSFET as a variable resistor and as a switch. BACKGROUND

More information

Wiki Lab Book. This week is practice for wiki usage during the project.

Wiki Lab Book. This week is practice for wiki usage during the project. Wiki Lab Book Use a wiki as a lab book. Wikis are excellent tools for collaborative work (i.e. where you need to efficiently share lots of information and files with multiple people). This week is practice

More information

Programmable Single-/Dual-/Triple- Tone Gong SAE 800

Programmable Single-/Dual-/Triple- Tone Gong SAE 800 Programmable Single-/Dual-/Triple- Tone Gong Preliminary Data SAE 800 Bipolar IC Features Supply voltage range 2.8 V to 18 V Few external components (no electrolytic capacitor) 1 tone, 2 tones, 3 tones

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information

Sequential Logic Design Principles.Latches and Flip-Flops

Sequential Logic Design Principles.Latches and Flip-Flops Sequential Logic Design Principles.Latches and Flip-Flops Doru Todinca Department of Computers Politehnica University of Timisoara Outline Introduction Bistable Elements Latches and Flip-Flops S-R Latch

More information

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits Objectives ELEC - EXPERIMENT Basic Digital Logic Circuits The experiments in this laboratory exercise will provide an introduction to digital electronic circuits. You will learn how to use the IDL-00 Bit

More information

Measuring Electric Phenomena: the Ammeter and Voltmeter

Measuring Electric Phenomena: the Ammeter and Voltmeter Measuring Electric Phenomena: the Ammeter and Voltmeter 1 Objectives 1. To understand the use and operation of the Ammeter and Voltmeter in a simple direct current circuit, and 2. To verify Ohm s Law for

More information

PURDUE UNIVERSITY NORTH CENTRAL

PURDUE UNIVERSITY NORTH CENTRAL ECET 109/159 PURDUE UNIVERSITY NORTH CENTRAL Electrical and Computer Engineering Technology Department All Semesters ECET Lab Report Format and Guidelines I. Introduction. Part of being technically educated

More information

How to Read a Datasheet

How to Read a Datasheet How to Read a Datasheet Prepared for the WIMS outreach program 5/6/02, D. Grover In order to use a PIC microcontroller, a flip-flop, a photodetector, or practically any electronic device, you need to consult

More information

MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question.

MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. CHAPTER3 QUESTIONS MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. ) If one input of an AND gate is LOW while the other is a clock signal, the output

More information

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption:

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption: Low-power single CMOS timer Description Datasheet - production data The TS555 is a single CMOS timer with very low consumption: Features SO8 (plastic micropackage) Pin connections (top view) (I cc(typ)

More information

= V peak 2 = 0.707V peak

= V peak 2 = 0.707V peak BASIC ELECTRONICS - RECTIFICATION AND FILTERING PURPOSE Suppose that you wanted to build a simple DC electronic power supply, which operated off of an AC input (e.g., something you might plug into a standard

More information

Building the AMP Amplifier

Building the AMP Amplifier Building the AMP Amplifier Introduction For about 80 years it has been possible to amplify voltage differences and to increase the associated power, first with vacuum tubes using electrons from a hot filament;

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

DEPARTMENT OF INFORMATION TECHNLOGY

DEPARTMENT OF INFORMATION TECHNLOGY DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF INFORMATION TECHNLOGY Lab Manual for Computer Organization Lab ECS-453

More information

BINARY CODED DECIMAL: B.C.D.

BINARY CODED DECIMAL: B.C.D. BINARY CODED DECIMAL: B.C.D. ANOTHER METHOD TO REPRESENT DECIMAL NUMBERS USEFUL BECAUSE MANY DIGITAL DEVICES PROCESS + DISPLAY NUMBERS IN TENS IN BCD EACH NUMBER IS DEFINED BY A BINARY CODE OF 4 BITS.

More information

Lab 5 Operational Amplifiers

Lab 5 Operational Amplifiers Lab 5 Operational Amplifiers By: Gary A. Ybarra Christopher E. Cramer Duke University Department of Electrical and Computer Engineering Durham, NC. Purpose The purpose of this lab is to examine the properties

More information

3.Basic Gate Combinations

3.Basic Gate Combinations 3.Basic Gate Combinations 3.1 TTL NAND Gate In logic circuits transistors play the role of switches. For those in the TTL gate the conducting state (on) occurs when the baseemmiter signal is high, and

More information

GLOLAB Two Wire Stepper Motor Positioner

GLOLAB Two Wire Stepper Motor Positioner Introduction A simple and inexpensive way to remotely rotate a display or object is with a positioner that uses a stepper motor to rotate it. The motor is driven by a circuit mounted near the motor and

More information

Decimal Number (base 10) Binary Number (base 2)

Decimal Number (base 10) Binary Number (base 2) LECTURE 5. BINARY COUNTER Before starting with counters there is some vital information that needs to be understood. The most important is the fact that since the outputs of a digital chip can only be

More information

Theory of Logic Circuits. Laboratory manual. Exercise 3

Theory of Logic Circuits. Laboratory manual. Exercise 3 Zakład Mikroinformatyki i Teorii Automatów yfrowych Theory of Logic ircuits Laboratory manual Exercise 3 Bistable devices 2008 Krzysztof yran, Piotr zekalski (edt.) 1. lassification of bistable devices

More information

Understanding Logic Design

Understanding Logic Design Understanding Logic Design ppendix of your Textbook does not have the needed background information. This document supplements it. When you write add DD R0, R1, R2, you imagine something like this: R1

More information

css Custom Silicon Solutions, Inc.

css Custom Silicon Solutions, Inc. css Custom Silicon Solutions, Inc. GENERAL PART DESCRIPTION The is a micropower version of the popular timer IC. It features an operating current under µa and a minimum supply voltage of., making it ideal

More information

Module 3: Floyd, Digital Fundamental

Module 3: Floyd, Digital Fundamental Module 3: Lecturer : Yongsheng Gao Room : Tech - 3.25 Email : yongsheng.gao@griffith.edu.au Structure : 6 lectures 1 Tutorial Assessment: 1 Laboratory (5%) 1 Test (20%) Textbook : Floyd, Digital Fundamental

More information

Figure 8-1 Four Possible Results of Adding Two Bits

Figure 8-1 Four Possible Results of Adding Two Bits CHPTER EIGHT Combinational Logic pplications Thus far, our discussion has focused on the theoretical design issues of computer systems. We have not yet addressed any of the actual hardware you might find

More information

1.1 The 7493 consists of 4 flip-flops with J-K inputs unconnected. In a TTL chip, unconnected inputs

1.1 The 7493 consists of 4 flip-flops with J-K inputs unconnected. In a TTL chip, unconnected inputs CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-246 Digital Logic Lab EXPERIMENT 1 COUNTERS AND WAVEFORMS Text: Mano, Digital Design, 3rd & 4th Editions, Sec.

More information

Transistor Characteristics and Single Transistor Amplifier Sept. 8, 1997

Transistor Characteristics and Single Transistor Amplifier Sept. 8, 1997 Physics 623 Transistor Characteristics and Single Transistor Amplifier Sept. 8, 1997 1 Purpose To measure and understand the common emitter transistor characteristic curves. To use the base current gain

More information

Objectives: Part 1: Build a simple power supply. CS99S Laboratory 1

Objectives: Part 1: Build a simple power supply. CS99S Laboratory 1 CS99S Laboratory 1 Objectives: 1. Become familiar with the breadboard 2. Build a logic power supply 3. Use switches to make 1s and 0s 4. Use LEDs to observe 1s and 0s 5. Make a simple oscillator 6. Use

More information

PCM Encoding and Decoding:

PCM Encoding and Decoding: PCM Encoding and Decoding: Aim: Introduction to PCM encoding and decoding. Introduction: PCM Encoding: The input to the PCM ENCODER module is an analog message. This must be constrained to a defined bandwidth

More information

DIODE CIRCUITS LABORATORY. Fig. 8.1a Fig 8.1b

DIODE CIRCUITS LABORATORY. Fig. 8.1a Fig 8.1b DIODE CIRCUITS LABORATORY A solid state diode consists of a junction of either dissimilar semiconductors (pn junction diode) or a metal and a semiconductor (Schottky barrier diode). Regardless of the type,

More information

Conversion Between Analog and Digital Signals

Conversion Between Analog and Digital Signals ELET 3156 DL - Laboratory #6 Conversion Between Analog and Digital Signals There is no pre-lab work required for this experiment. However, be sure to read through the assignment completely prior to starting

More information

Memory Elements. Combinational logic cannot remember

Memory Elements. Combinational logic cannot remember Memory Elements Combinational logic cannot remember Output logic values are function of inputs only Feedback is needed to be able to remember a logic value Memory elements are needed in most digital logic

More information

SEQUENTIAL CIRCUITS. Block diagram. Flip Flop. S-R Flip Flop. Block Diagram. Circuit Diagram

SEQUENTIAL CIRCUITS. Block diagram. Flip Flop. S-R Flip Flop. Block Diagram. Circuit Diagram SEQUENTIAL CIRCUITS http://www.tutorialspoint.com/computer_logical_organization/sequential_circuits.htm Copyright tutorialspoint.com The combinational circuit does not use any memory. Hence the previous

More information

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas Take-Home Exercise Assume you want the counter below to count mod-6 backward. That is, it would count 0-5-4-3-2-1-0, etc. Assume it is reset on startup, and design the wiring to make the counter count

More information

Experiment 2 Diode Applications: Rectifiers

Experiment 2 Diode Applications: Rectifiers ECE 3550 - Practicum Fall 2007 Experiment 2 Diode Applications: Rectifiers Objectives 1. To investigate the characteristics of half-wave and full-wave rectifier circuits. 2. To recognize the usefulness

More information

[ 4 ] Logic Symbols and Truth Table

[ 4 ] Logic Symbols and Truth Table [ 4 ] Logic s and Truth Table 1. How to Read MIL-Type Logic s Table 1.1 shows the MIL-type logic symbols used for high-speed CMO ICs. This logic chart is based on MIL-TD-806. The clocked inverter and transmission

More information

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment.

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment. Op-Amp Simulation EE/CS 5720/6720 Read Chapter 5 in Johns & Martin before you begin this assignment. This assignment will take you through the simulation and basic characterization of a simple operational

More information

Design Project: Power inverter

Design Project: Power inverter Design Project: Power inverter This worksheet and all related files are licensed under the Creative Commons Attribution License, version 1.0. To view a copy of this license, visit http://creativecommons.org/licenses/by/1.0/,

More information

Physics 120 Lab 6: Field Effect Transistors - Ohmic region

Physics 120 Lab 6: Field Effect Transistors - Ohmic region Physics 120 Lab 6: Field Effect Transistors - Ohmic region The FET can be used in two extreme ways. One is as a voltage controlled resistance, in the so called "Ohmic" region, for which V DS < V GS - V

More information

css Custom Silicon Solutions, Inc.

css Custom Silicon Solutions, Inc. css Custom Silicon Solutions, Inc. CSS555(C) CSS555/ PART DESCRIPTION The CSS555 is a micro-power version of the popular 555 Timer IC. It is pin-for-pin compatible with the standard 555 timer and features

More information

DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs

DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs General Description The DM9368 is a 7-segment decoder driver incorporating input latches and constant current output circuits

More information

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1 WEEK 8.1 egisters and Counters ECE124 igital Circuits and Systems Page 1 Additional schematic FF symbols Active low set and reset signals. S Active high set and reset signals. S ECE124 igital Circuits

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse.

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse. DIGITAL COUNTERS http://www.tutorialspoint.com/computer_logical_organization/digital_counters.htm Copyright tutorialspoint.com Counter is a sequential circuit. A digital circuit which is used for a counting

More information

Table 1 Comparison of DC, Uni-Polar and Bi-polar Stepper Motors

Table 1 Comparison of DC, Uni-Polar and Bi-polar Stepper Motors Electronics Exercise 3: Uni-Polar Stepper Motor Controller / Driver Mechatronics Instructional Laboratory Woodruff School of Mechanical Engineering Georgia Institute of Technology Lab Director: I. Charles

More information

Study Guide for the Electronics Technician Pre-Employment Examination

Study Guide for the Electronics Technician Pre-Employment Examination Bay Area Rapid Transit District Study Guide for the Electronics Technician Pre-Employment Examination INTRODUCTION The Bay Area Rapid Transit (BART) District makes extensive use of electronics technology

More information

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2)

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2) Features General Description Wide supply Voltage range: 2.0V to 36V Single or dual supplies: ±1.0V to ±18V Very low supply current drain (0.4mA) independent of supply voltage Low input biasing current:

More information

Interfacing To Alphanumeric Displays

Interfacing To Alphanumeric Displays Interfacing To Alphanumeric Displays To give directions or data values to users, many microprocessor-controlled instruments and machines need to display letters of the alphabet and numbers. In systems

More information

Glolab Talking Phone Dial Monitor

Glolab Talking Phone Dial Monitor Introduction The detects the tones generated when numbers are dialed on your touch tone telephone and speaks the numbers that were dialed. This verifies that you dialed the correct number and is especially

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

Electronics Technology

Electronics Technology Teacher Assessment Blueprint Electronics Technology Test Code: 5907 / Version: 01 Copyright 2011 NOCTI. All Rights Reserved. General Assessment Information Blueprint Contents General Assessment Information

More information

EXPERIMENT NUMBER 8 CAPACITOR CURRENT-VOLTAGE RELATIONSHIP

EXPERIMENT NUMBER 8 CAPACITOR CURRENT-VOLTAGE RELATIONSHIP 1 EXPERIMENT NUMBER 8 CAPACITOR CURRENT-VOLTAGE RELATIONSHIP Purpose: To demonstrate the relationship between the voltage and current of a capacitor. Theory: A capacitor is a linear circuit element whose

More information

Upon completion of unit 1.1, students will be able to

Upon completion of unit 1.1, students will be able to Upon completion of unit 1.1, students will be able to 1. Demonstrate safety of the individual, class, and overall environment of the classroom/laboratory, and understand that electricity, even at the nominal

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information