Pattern & device transfer processes

Size: px
Start display at page:

Download "Pattern & device transfer processes"

Transcription

1 Journée Trans GDR «Micronanomanipulation pour les micro et nano systèmes» Besançon, 11 Avril 2012 Pattern & device transfer processes A. Bosseboeuf 1*, G. Schelcher 1, V. Beix 1,2, S. Brault 2, S. Nazeer 1, F. Parrain 1, E. Dufour-Gergam 1,E. Martincic 1,J. Moulin 1, F. Hamouda 1, A. Aassime 1 1 Institut d Electronique Fondamentale UMR 8622, Université Paris Sud, Bât ORSAY Cedex 2 KFM Technology, Z.A. de Courtaboeuf 11 rue de Norvège Villebon sur Yvette * alain.bosseboeuf@u-psud.fr

2 Outline Applications of pattern/devices transfer The ideal transfer process Principle and physics of transfer processes Transfer processes developped at IEF Pattern/device transfer of inorganic materials by adhesion engineering Pattern/transfer on PDMS UV nanoimprint Lithography Conclusion and future works 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 2

3 Applications of transfer processes Donor wafer New composite substrates SOI-like substrates with buried cavities III-V on Si, SiC/Si, Ferroelectric on Si, Ge on Sapphire, GeOI, SGOI, etc Patterns with elaboration conditions incompatible with substrate wafer Transfer Thin film packaging Target wafer High T materials on thermally instable substrates Cristalline materials on non cristalline substrates Patterns on chemically incompatible materials Die, device and MEMS transfer Mixing of different technologies (hererogenous integration) III-V and Si CMOS & MEMS Polymer and Si or III-V Glass Ex: CMOS on glass (Bowers ECTC 2009) CMOS 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 3

4 The ideal transfer process Versatile : Any materials (High T, Low T, inorganic, organic, ) Any pattern size (micro, nano), thickness and shape (1D, 2D, 3D) Multiple transfer & superimposition of patterns with different sizes Applicable on any surface (flat, structured, curved, ) Simple, low cost, re-usable donor wafer, high yield One donor wafer several target wafers Selective pattern transfer Minimum interaction with target substrate Low T & thermal budget, minimum target surface preparation/exposure Accurate (self? ) alignement 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 4

5 film/pattern/device transfer processes Single transfer: direct printing Contact, alignment (& bonding) Donor wafer Donor wafer Target wafer Target wafer Pattern reversal (Top Down) (Release) Donor wafer Target wafer Double transfer (pick & place): transfer printing «Pick» wafer «Pick» wafer «Pick» wafer «Pick» wafer Donor wafer Donor wafer Target wafer Target wafer No pattern reversal (Top Top) 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 5

6 Release step control Donor or pick wafer Sacrificial layer/wafer etching Low fracture strength Low adhesion «Pick» wafer Target wafer High adhesion Donor wafer Wet or dry etching Mechanical loading Donor wafer Sacrificial layer Thermomechanical stress Peeling Lifting Pulling Sliding «Cleaving» T>Tamb 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 6

7 Release step control Anti-adhesive film Adhesion reduction CxFy, Carbon (IEF) Oxidation of Ni layer (C.T. Pan et al. 2004) SAM (Janggil Kim et al. 2005, Jen-Yi Chen et al. 2002, IEF) Pb-Sn solder dewetting (W.C. Welch 2005) Fracture strength reduction Theters or pillars (H. Onoe 2007, C.A. Bowers 2008, etc..) Porous material, H implantation, Etc.. Post-processing Thermal/N 2 -H 2 plasma (IEF) Wet etching Ozone, O2 plasma Laser heating (R. Guerre 2008) UV degradation (H. Kawata 2008) Thermomechanical stress, etc Adhesion promotion Pressure & temperature (wafer bonding) SAM (Janggil Kim et al. 2005) Laser heating (Chun-Hung Che 2007) Water drying (Byoung Lee et al. 2007) UV polymerization 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 7

8 Inorganic pattern/device transfer with CxFy anti-adhesive layer and BCB bonding Patterning on CxFy film BCB joint patterning Wafer bonding Pattern release attern to transfer CxFy film Si BCB film Teflon-like film grown by plasma polymerization in a C 4 F 8 plasma Water Contact Angle on C x F y layer 112 XPS Surface Energy : 11,3 mn.m -1 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 8

9 Water contact angle ( ) F/C ratio Stress (MPa) Control of CxFy anti-adhesive properties Adhesion control by plasma processing (processing and XPS at LGPPTS) Debonding stress Cu/Ti/CxFy (tensile test) 120 Water contact angle F/C ratio 1,8 1,6 4,0 3, ,4 3,0 80 1,2 1,0 2,5 2, ,8 0,6 0,4 0, N2/H2 (1:3) plasma treatment time (s) 1,5 1,0 0,5 0, WCA on fluorocarbon film ( ) Strong defluorination Grafting of Nitrogen and oxygen species 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 9

10 Control of CxFy anti-adhesive properties Adhesion control by thermal annealing (XPS at LGPPTS) Thermal stability analysis by mass spectrometry (Coll. LGPPTS) Thickness reduction above T~90C, Large desorption above 200 C 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 10

11 Film Packaging Transfer of electroplated Ni patterns 1mm Transfer of micromechanical structures 300 µm 1mm Blister test Bonding strength up to 40 bars Pattern transfer on Flexible & Glass substrates Thicknesses from 7µm down to 700nm Low deformation of transferred structures 3D stacked structures 100µm 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 11

12 Other transfers with CxFy anti-adhesive film and BCB adhesive bonding Ni MicroPirani pressure gages SEM image after wire bonding Thermal map Resistance versus Pressure Filament Au Wire-bonding 600 nm lines 500µm 1mm Electroplated Cu on Si Sputtered finemet (soft magnetic material) 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 12

13 Stress (GPa) Inorganic pattern/device transfer with Carbon anti-adhesive layer Transfer of high T materials: Anti-adhesion film = Magnetron sputtered C film- Water contact angle:80 Stress measurement from deflection of C coated Si beams (C Film thickness<20nm) -2,0 Dépôt 1500s Dépôt s -1,5-1,0-0,5 %C-C %C-0 %C=O 71 16,4 12,6 0,0 0,000 0,002 0,004 0,006 0,008 0,010 0,012 Pressure (mbar) 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 13

14 Inorganic pattern/device transfer with Carbon anti-adhesive layer 10 to 200µm Cu patterns on Si Good yield on 4 wafers (90%) Validated for Cu and Ni films annealed up to 500 C Remaining issues: low deposition rate, low adhesion tunability and high stress 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 14

15 Transfer of metallic patterns on PDMS with water soluble, thermally stable inorganic sacrificial layer (Patented) Sacrificial layer dissolution in water Lift-off or micromolding Si PDMS coating with CxFy anti-adhesive layer Alignment & PDMS to PDMS bonding Free standing microbjects after PDMS removal 500nm Al lines on PDMS Si wafers mechanical release 3 axis flexible tactile sensor array (See poster by Nazeer et al.) 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 15

16 UV NanoImprint Lithography PDMS stamp fabricated from a Si mold master coated with TMCS anti-adhesive film 165nm Au dots on Si AMONIL/PMMA Lift-off Imprinting (200mbar) & 365nm UV exposure 100nm Holes in Si PDMS stamp release Etching RIE etching 13/04/2012 A. Bosseboeuf, Institut d Electronique Fondamentale 16

17 Conclusion and future works Good versatility of CxFy +BCB transfer process but limited to low T Films (<250C) Two transfer processes compatible with high temperature patterns developped In progress Lower temperature transfer process (<180C) by using Au/In instead of BCB Developpement of a wafer mechanical debonding system Developpement of other mechanical adhesion testing tools (FPB, Blade test) Future works Tests of transfer of high temperature materials Other anti-adhesive films, other bonding technologies? Other applications 13/04/2012 Institut d Electronique Fondamentale 17

18 References Transfer with CxFy anti(adhesive layer Patent : S. Brault et al., n , February 2nd G. Schelcher et al., IEEE J. MEMS, vol. 20, pp. 1184, S. Brault et al, Microsys. Techno., vol. 16, pp. 1277, G. Schelcher et al., J. Electrochem. Soc., Vol. 158, Issue 5, pp. H545, G. Schelcher et al. Proc. Eurosensors XXIV, 2010 M. Couty et al., DTIP proceeding (to be published) Transfer on PDMS Patent: DI 0316 HAMOUDA et al. Patent : DI 0334 AASSIME et al. Nazeer et al. Proc. IEEE IMS3TW, p.1-5, 2010 Nazeer et al. 219th ECS meeting, 2011 Patent : DI 0334 AASSIME et al. UV nanoiimprint lithography F. Hamouda et al. Microelec. Eng Barbillon et al. Microelec. Eng., /04/2012 Institut d Electronique Fondamentale 18

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Lead-Free Universal Solders for Optical and MEMS Packaging

Lead-Free Universal Solders for Optical and MEMS Packaging Lead-Free Universal Solders for Optical and MEMS Packaging Sungho Jin Univ. of California, San Diego, La Jolla CA 92093 OUTLINE -- Introduction -- Universal Solder Fabrication -- Microstructure -- Direct

More information

Emerging new non conventional tools

Emerging new non conventional tools Emerging new non conventional tools Near field lithography Near field lithography Near field lithography through local electrochemistry example of gold a) Surface water condensation b) Monolayer of oxidized

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Projet ConProMi : convergence Microtechnologie / Plasturgie dans la fabrication des outillages et l intégration des capteurs

Projet ConProMi : convergence Microtechnologie / Plasturgie dans la fabrication des outillages et l intégration des capteurs Projet ConProMi : convergence Microtechnologie / Plasturgie dans la fabrication des outillages et l intégration des capteurs The ConProMi project : converging Microtechnology / Polymer technologies for

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

Basic Properties and Application of Auto Enamels

Basic Properties and Application of Auto Enamels Basic Properties and Application of Auto Enamels Composition of Ceramic Automotive Glass Enamels Ceramic automotive glass colours are glass enamels that fire on to the glass during the bending process

More information

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien ERÖFFNUNG DES INNOVATIONSZENTRUMS ADAPTSYS Dual Integration - Verschmelzung von Wafer und Panel Level Technologien Dr. Michael Töpper BDT Introduction Introduction Why do we need such large machines to

More information

MEMS devices application based testing

MEMS devices application based testing MEMS devices application based testing CEEES Seminar 18-10-2012 RDM Campus Rotterdam NL by Kees Revenberg MASER Engineering Enschede NL Outline Introduction MEMS classification Sensing & Actuating Manufacturing

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

Make up Epoxy adhesive

Make up Epoxy adhesive Epoflex Base Materials series of MSC Polymer AG offers flexible base materials from simple single side flexible boards, flex-rigid applications up to highly complex multilayer boards. The dielectric is

More information

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS Case Study : Digital Micromirror Devices (DMD) Chapter of Senturia A MEMS-based projection display, Van Kessel, P.F.; Hornbeck, L.J.; Meier, R.E.; Douglass, M.R., Proc. IEEE, Vol. 86 pp.1687-174 1998 http://www.dlp.com/

More information

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Printed Circuits Danilo Manstretta microlab.unipv.it/ danilo.manstretta@unipv.it Printed Circuits Printed Circuits Materials Technological steps Production

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Micro-Power Generation

Micro-Power Generation Micro-Power Generation Elizabeth K. Reilly February 21, 2007 TAC-meeting 1 Energy Scavenging for Wireless Sensors Enabling Wireless Sensor Networks: Ambient energy source Piezoelectric transducer technology

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

MEMS mirror for low cost laser scanners. Ulrich Hofmann

MEMS mirror for low cost laser scanners. Ulrich Hofmann MEMS mirror for low cost laser scanners Ulrich Hofmann Outline Introduction Optical concept of the LIDAR laser scanner MEMS mirror requirements MEMS mirror concept, simulation and design fabrication process

More information

Dr Marcin Adamiak marcin.adamiak. www.imiib.polsl.pl/

Dr Marcin Adamiak marcin.adamiak. www.imiib.polsl.pl/ FP7 NMP/INCO Brokerage Event Warsaw, 17-18 September 2009 Dr Marcin Adamiak marcin.adamiak adamiak@polsl.pl http://www.imiib.polsl.pl www.imiib.polsl.pl/ Institute of Engineering Materials and Biomaterials

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

Use of Carbon Nanoparticles for the Flexible Circuits Industry

Use of Carbon Nanoparticles for the Flexible Circuits Industry Use of Carbon Nanoparticles for the Flexible Circuits Industry Ying (Judy) Ding, Rich Retallick MacDermid, Inc. Waterbury, Connecticut Abstract FPC (Flexible Printed Circuit) has been growing tremendously

More information

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS Trends Biomater. Artif. Organs. Vol. 17(2) pp 43-47 (2004) http//www.sbaoi.org BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS N. Ramesh Babu*,+, Sushant Manwatkar*, K. Prasada Rao* and T. S. Sampath

More information

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor U. Hofmann, Fraunhofer ISIT Itzehoe M. Aikio, VTT Finland Abstract Low cost laser scanners for environment

More information

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Light management for photovoltaics using surface nanostructures

Light management for photovoltaics using surface nanostructures Light management for photovoltaics using surface nanostructures Roberta De Angelis Department of Industrial Engineering and INSTM, University of Rome Tor Vergata New Materials For Optoelectronics webnemo.uniroma2.it

More information

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research Ultra-high Barrier Plastic MSE5420 Flexible Electronics Martin Yan, GE Global Research Outline Introduction to plastic substrate and need for barrier Barrier technologies WVTR measurement technologies

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Material data sheet. EOS Aluminium AlSi10Mg. Description

Material data sheet. EOS Aluminium AlSi10Mg. Description EOS Aluminium AlSi10Mg EOS Aluminium AlSi10Mg is an aluminium alloy in fine powder form which has been specially optimised for processing on EOSINT M systems This document provides information and data

More information

Material data sheet. EOS Aluminium AlSi10Mg_200C. Description

Material data sheet. EOS Aluminium AlSi10Mg_200C. Description EOS Aluminium AlSi10Mg_200C All information in this data sheet refers to the alloy EOS Aluminium AlSi10Mg_200C. This alloy is formed when the powder EOS Aluminium AlSi10Mg is processes at a building platform

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

to realize innovative electronic products 2 June 13, 2013 Jan Eite Bullema 3D Printing to realize innovative electronic products

to realize innovative electronic products 2 June 13, 2013 Jan Eite Bullema 3D Printing to realize innovative electronic products Overview of 2 What is? Methods / Materials / Current Products Rapid Prototyping evolves to Additive Manufacturing in Electronics Manufacturing Recent developments in 3D printing at TNO Conclusions / jan_eite.bullema@tno.nl

More information

THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE

THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE 5th World Conference on Photovoltaic Energy Conversion, 6-1 September 21, Valencia, Spain THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE Y.

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

How to measure absolute pressure using piezoresistive sensing elements

How to measure absolute pressure using piezoresistive sensing elements In sensor technology several different methods are used to measure pressure. It is usually differentiated between the measurement of relative, differential, and absolute pressure. The following article

More information

Excerpt Direct Bonded Copper

Excerpt Direct Bonded Copper xcerpt irect Bonded Copper Presented by ouglas C. Hopkins, Ph.. 312 Bonner Hall University at Buffalo Buffalo, Y 14620-1900 607-729-9949, fax: 607-729-7129 Authors thank Curamik lectronics A member of

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

FLEXIBLE CIRCUITS MANUFACTURING

FLEXIBLE CIRCUITS MANUFACTURING IPC-DVD-37 FLEXIBLE CIRCUITS MANUFACTURING Below is a copy of the narration for DVD-37. The contents of this script were developed by a review group of industry experts and were based on the best available

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

CIRICULUM VITAE 1. PERSONAL. Date of Birth-Place : March 2 nd 1984- Balikesir, Turkey

CIRICULUM VITAE 1. PERSONAL. Date of Birth-Place : March 2 nd 1984- Balikesir, Turkey CIRICULUM VITAE 1. PERSONAL Name, Title : Derya ATAÇ, M.Sc. Date of Birth-Place : March 2 nd 1984- Balikesir, Turkey Address Phone E-mail : NanoElectronics Group (NE), MESA+ Institute for Nanotechnology,

More information

ENIG with Ductile Electroless Nickel for Flex Circuit Applications

ENIG with Ductile Electroless Nickel for Flex Circuit Applications ENIG with Ductile Electroless Nickel for Flex Circuit Applications Yukinori Oda, Tsuyoshi Maeda, Chika Kawai, Masayuki Kiso, Shigeo Hashimoto C.Uyemura & Co., Ltd. George Milad and Donald Gudeczauskas

More information

Performance of Carbon-PTFE Electrodes and PTFE Separators in Electrochemical Double Layer Capacitors (EDLCs)

Performance of Carbon-PTFE Electrodes and PTFE Separators in Electrochemical Double Layer Capacitors (EDLCs) Performance of Carbon-PTFE Electrodes and PTFE Separators in Electrochemical Double Layer Capacitors (EDLCs) David Zuckerbrod, Robert Sassa, Marianne Szabo, Meagan Mizenko Abstract: W. L. Gore & Associates

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Welding of Plastics. Amit Mukund Joshi. (B.E Mechanical, A.M.I.Prod.E)

Welding of Plastics. Amit Mukund Joshi. (B.E Mechanical, A.M.I.Prod.E) Welding of Plastics Amit Mukund Joshi (B.E Mechanical, A.M.I.Prod.E) Introduction Mechanical fasteners, adhesives, and welding processes can all be employed to form joints between engineering plastics.

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Simple and scalable fabrication approaches of Nanophotonic structures for PV

Simple and scalable fabrication approaches of Nanophotonic structures for PV Simple and scalable fabrication approaches of Nanophotonic structures for PV Fabien Sorin Surface du Verre et Interfaces (SVI), UMR 125 CNRS/Saint-Gobain, 39, Quai Lucien Lefranc, 93303 Aubervilliers,

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Flex Circuit Design and Manufacture.

Flex Circuit Design and Manufacture. Flex Circuit Design and Manufacture. Hawarden Industrial Park, Manor Lane, Deeside, Flintshire, CH5 3QZ Tel 01244 520510 Fax 01244 520721 Sales@merlincircuit.co.uk www.merlincircuit.co.uk Flex Circuit

More information

Focused Ion beam nanopatterning: potential application in photovoltaics

Focused Ion beam nanopatterning: potential application in photovoltaics Focused Ion beam nanopatterning: potential application in photovoltaics Research Infrastructure: Location: FIB-Focused Ion Beam ENEA Portici (Italy) Date March, 26 2013 Speakers: Vera La Ferrara, ENEA

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

ISANE Isoparaffin Products

ISANE Isoparaffin Products ISANE Isoparaffin Products High Product Purity The Isane range is a unique range of products that is characterized by a high purity level, low odor, low surface tension, and relative chemical inertness.

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

F ormation of Very Low Resistance Contact for Silicon Photovoltaic Cells. Baomin Xu, Scott Limb, Alexandra Rodkin, Eric Shrader, and Sean Gamer

F ormation of Very Low Resistance Contact for Silicon Photovoltaic Cells. Baomin Xu, Scott Limb, Alexandra Rodkin, Eric Shrader, and Sean Gamer F ormation of Very Low Resistance Contact for Silicon Photovoltaic Cells Baomin Xu, Scott Limb, Alexandra Rodkin, Eric Shrader, and Sean Gamer Palo Alto Research Center, 3333 Coyote Hill Road, Palo Alto,

More information

Bending, Forming and Flexing Printed Circuits

Bending, Forming and Flexing Printed Circuits Bending, Forming and Flexing Printed Circuits John Coonrod Rogers Corporation Introduction: In the printed circuit board industry there are generally two main types of circuit boards; there are rigid printed

More information

Novel inkjettable copper ink utilizing processing temperatures under 100 degrees C without the need of inert atmosphere

Novel inkjettable copper ink utilizing processing temperatures under 100 degrees C without the need of inert atmosphere Novel inkjettable copper ink utilizing processing temperatures under 100 degrees C without the need of inert atmosphere Printed Electronics Europe April 7-8, 2009 Dresden, Germany Dr. Zvi Yaniv Applied

More information

histaris Inline Sputtering Systems

histaris Inline Sputtering Systems vistaris histaris Inline Sputtering Systems Inline Sputtering Systems with Vertical Substrate Transport Modular System for Different Applications VISTARIS Sputtering Systems The system with the brand name

More information

DuPont Kapton HN. polyimide film

DuPont Kapton HN. polyimide film DuPont Kapton HN polyimide film Technical Data Sheet DuPont Kapton HN general-purpose film has been used successfully in applications at temperatures as low as -269 C (-452 F) and as high as 400 C (752

More information

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser V.Nassisi #, G.Caretto #, A. Lorusso #, D.Manno %, L.Famà %, G.Buccolieri %, A.Buccolieri %, U.Mastromatteo* # Laboratory of Applied

More information

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A.

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. LUMINOUS CHEMICAL VAPOR DEPOSITION and INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. MARCEL MARCEL DEKKER. NEW YORK DEKKER Contents Preface iii Part I.

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

ENS 07 Paris, France, 3-4 December 2007

ENS 07 Paris, France, 3-4 December 2007 ENS 7 Paris, France, 3-4 December 7 FRICTION DRIVE SIMULATION OF A SURFACE ACOUSTIC WAVE MOTOR BY NANO VIBRATION Minoru Kuribayashi Kurosawa, Takashi Shigematsu Tokyou Institute of Technology, Yokohama

More information

Pulsed laser deposition of organic materials

Pulsed laser deposition of organic materials Pulsed laser deposition of organic materials PhD theses Gabriella Kecskeméti Department of Optics and Quantum Electronics University of Szeged Supervisor: Dr. Béla Hopp senior research fellow Department

More information

Design for Microfluidic Device Manufacture Guidelines

Design for Microfluidic Device Manufacture Guidelines Design for Microfluidic Device Manufacture Guidelines Editors: Henne van Heeren (enablingmnt), Peter Hewkin (facilitator of the Microfluidics Consortium) With contributions from the following members of

More information

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium 90% added energy However can reaction can chemically

More information

Why silicon MEMS? MEMS@KTH. Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging

Why silicon MEMS? MEMS@KTH. Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging Why silicon MEMS? MEMS@KTH Small Identical Large volumes (low cost per unit) School of Electrical Engineering Royal Institute of Technology Silicon is a strong material... Photolithography 10 µm thick

More information

Laser hole drilling and texturing (for joining) of composites

Laser hole drilling and texturing (for joining) of composites AILU Workshop: Laser processing of polymer, metal and cerramic composites Laser hole drilling and texturing (for joining) of composites Dr Paul French Photonics in Engineering Research Group General Engineering

More information

Mounting Instructions for SP4 Power Modules

Mounting Instructions for SP4 Power Modules Mounting Instructions for SP4 Power Modules Pierre-Laurent Doumergue R&D Engineer Microsemi Power Module Products 26 rue de Campilleau 33 520 Bruges, France Introduction: This application note gives the

More information

North American Stainless

North American Stainless North American Stainless Flat Products Stainless Steel Sheet T409 INTRODUCTION NAS 409 is an 11% chromium, stabilized ferritic stainless steel. It is not as resistant to corrosion or high-temperature oxidation

More information

Solutions without Boundaries. PCB Surface Finishes. Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region

Solutions without Boundaries. PCB Surface Finishes. Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region Solutions without Boundaries PCB Surface Finishes Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region 1 Notice Notification of Proprietary Information: This document contains proprietary

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information