Curriculum Vitae Stijn Eyerman

Size: px
Start display at page:

Download "Curriculum Vitae Stijn Eyerman"

Transcription

1 Curriculum Vitae Stijn Eyerman PERSONAL DETAILS Dr. ir. Stijn Eyerman Born June 12, 1981 in Ghent, Belgium Home address: Buntstraat Evergem Belgium Cell phone: Work address: Universiteit Gent Vakgroep Elektronica en Informatiesystemen (EA06) Sint-Pietersnieuwstraat Gent Belgium Phone: Fax: Stijn.Eyerman@elis.UGent.be EDUCATION Master level Title of degree at master level: Computer Science Engineer Burgerlijk ingenieur in de computerwetenschappen Grade obtained: Maxima cum laude Grootste onderscheiding Institution: Ghent University Year: 2004 PhD Title of the dissertation: Supervisor: University: Analytische prestatieanalyse en modellering van superscalaire en meerdradige processors. Analytical Performance Analysis and Modeling of Superscalar and Multi- Threaded Processors Prof. dr. ir. Lieven Eeckhout Ghent University Date awarded: May 8, 2008 Other degrees/diplomas Title of degree or diploma Institution Year Basic Lecturer Training Ghent University 2010 English for Lecturers Ghent University 2012

2 FULL CAREER Function Institution or employer from to FWO PhD Fellow Ghent University / Research 1/10/ /9/2008 Foundation Flanders (FWO) FWO Postdoctoral Fellow Ghent University / Research 1/10/ /9/2014 Foundation Flanders (FWO) Postdoctoral Researcher Ghent University / EU FP7 ADEPT project 1/10/ /8/2016 TEACHING Institution Duration Subject; course title Ghent University Teaching Assistant Basic Computer Architecture (lab sessions) Ghent University present Teaching Assistant Advanced Computer Architecture (project coach and teaching exercises) ACADEMIC HONOURS AND DISTINCTIONS, AWARDS, PRIZES 2 papers selected for IEEE Micro Top Picks (2006 and 2009), as one of the most significant research publications in computer architecture based on novelty and industry relevance. HiPEAC technology transfer award for Sniper, a multicore simulator based on my work. Value: 1, HiPEAC paper awards (1 in 2009, 3 in 2010, 1 in 2012, 1 in 2013 and 1 in 2014). Value: 1,000 (only once). 2 best paper nominations at ISPASS 2012 and ISPASS 2015 INTERNATIONAL EXPERIENCE April 22, 2014 May 28, 2014: Research stay at INRIA Rennes, France (group of André Seznec) March 23, 2015 June 26, 2015: Host for a visiting PhD student: Josué Feliu Peréz from the University of Valencia From August 2015: co-supervision of the PhD of Runar B. Olsen from NTNU (Norway) Several international research collaborations leading to multiple publications: o University of Wisconsin: Jim Smith and Tejas Karkhanis (4 papers) o University of Texas at Austin: Lizy John and Arun Nair (2 papers) o INRIA Saclay, France and ICT, Beijing, China: Olivier Temam, Zheng Li, Yang Chen and Chengyong Wu (1 paper) o INRIA Rennes, France: Pierre Michaud (2 papers) Maximilien Breughe (a PhD student I have advised) has done a 1-year internship at Samsung in Austin, Texas.

3 PUBLICATIONS Journal publications 1. Arun Nair, Stijn Eyerman, Lieven Eeckhout, Lizy John, Jian Chen, Mechanistic Modeling of Architectural Vulnerability Factor, ACM Transactions on Computer Systems, Vol. 32, issue 4, January (impact factor 0.615) 2. Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout, Mechanistic Analytical Modeling of Superscalar In-Order Processor Performance, ACM Transactions on Architecture and Code Optimization, vol. 11, issue 4, article 50, January (impact factor 0.597) 3. Stijn Eyerman, Pierre Michaud, Wouter Rogiest, Multi-Program Throughput Metrics: a Systematic Approach, ACM Transactions on Architecture and Code Optimization, vol. 11, issue 3, article 34, September (impact factor 0.597) 4. Trevor Carlson, Wim Heirman, Stijn Eyerman, Ibrahim Hur, Lieven Eeckhout, An Evaluation of High-Level Mechanistic Core Models, ACM Transactions on Architecture and Code Optimization, vol. 11, issue 3, article 28, September (impact factor 0.597) 5. Stijn Eyerman, Lieven Eeckhout, Restating the Case for Weighted-IPC Metrics to Evaluate Multiprogram Workload Performance, IEEE Computer Architecture Letters, published online, 2013 (impact factor: 0.852). 6. Kristof Du Bois, Stijn Eyerman, Lieven Eeckhout, Per-Thread Cycle Accounting in Multi-Core Processors, ACM Transactions on Architecture and Code Optimization, vol. 9, issue 1, article 1, 29 pages, January (impact factor 0.568) 7. Stijn Eyerman, Lieven Eeckhout, Probabilistic Modeling for Job Symbiosis Scheduling on SMT Processors, ACM Transactions on Architecture and Code Optimization, vol. 9, issue 2, article 7, 27 pages, June (impact factor 0.568) 8. Stijn Eyerman, Lieven Eeckhout, Fine-Grained DVFS Using On-Chip Regulators, ACM Transactions on Architecture and Code Optimization, vol. 8, issue 1, article 1, 24 pages, April (impact factor 0.568) 9. Stijn Eyerman, Lieven Eeckhout, A Counter Architecture for Online DVFS Profitability Estimation, IEEE Transactions on Computers, vol. 59, issue 11, pp , November (impact factor 1.103) 10. Stijn Eyerman, Lieven Eeckhout, Probabilistic Job Symbiosis Modeling for SMT Processor Scheduling, ACM Sigplan Notices, vol. 45, issue 3, pp , March (impact factor 0.090) 11. Stijn Eyerman, Lieven Eeckhout, Per-thread Cycle Accounting, IEEE Micro, vol. 30, issue 1, pp , January (impact factor 2.527) 12. Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith, A Mechanistic Performance Model for Superscalar Out-of-Order Processors, ACM Transactions on Computer Systems, vol. 27, issue 2, article 3, 37 pages, May (impact factor 2.381) 13. Stijn Eyerman, Lieven Eeckhout, Memory-Level Parallelism Aware Fetch Policies for Simultaneous Multithreading Processors, ACM Transactions on Architecture and Code Optimization, vol. 6, issue 1, article 3, 33 pages, March (impact factor 0.595) 14. Stijn Eyerman, Lieven Eeckhout, Per-Thread Cycle Accounting in SMT Processors, ACM Sigplan Notices, vol. 44, issue 3, pp , March (impact factor 0.280) 15. Stijn Eyerman, Lieven Eeckhout, System-Level Performance Metrics for Multiprogram Workloads, IEEE Micro, vol. 28, issue 3, pp , May (impact factor 2.565) 16. Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith, A Top-Down Approach to Architecting CPI Component Performance Counters, IEEE Micro, vol. 27, issue 1, pp , January (impact factor 1.701) 17. Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith, A Performance Counter Architecture for Computing Accurate CPI Components, ACM Sigplan Notices, vol. 44, issue 3, pp , November (impact factor 0.108)

4 Conference papers mentioned in ISI Web of Science 1. Stijn Eyerman, Lieven Eeckhout, The Benefit of SMT in the Multi-Core Era: Flexibility towards Degrees of Thread-Level Parallelism, in Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp , Kristof Du Bois, Jennifer B Sartor, Stijn Eyerman, Lieven Eeckhout, Bottle graphs: visualizing scalability bottlenecks in multi-threaded applications, in Proceedings of the 2013 ACM SIGPLAN international conference on Object oriented programming systems languages & applications (OOPSLA), pp , Kristof Du Bois, Stijn Eyerman, Jennifer Sartor, Lieven Eeckhout, Criticality Stacks: Identifying Critical Threads in Parallel Programs using Synchronization Behavior, in Proceedings of 40th International Symposium on Computer Architecture (ISCA 2013), pp., Arun Nair, Stijn Eyerman, Lieven Eeckhout, Lizy K. John, A First-Order Mechanistic Model for Architectural Vulnerability Factor 39 th Annual International Symposium on Computer Architecture (ISCA 2012), pp , Stijn Eyerman, Lieven Eeckhout, Probabilistic Job Symbiosis Modeling for SMT Processor Scheduling, 15 th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2010), pp , Davy Genbrugge, Stijn Eyerman, Lieven Eeckhout, Interval Simulation: Raising the Level of Abstraction in Architectural Simulation, 16 th International Symposium on High Performance Computer Architecture (HPCA 2010), pp , Stijn Eyerman, Lieven Eeckhout, Modeling Critical Sections in Amdahl's Law and its Implications for Multicore Design, 37 th Annual International Symposium on Computer Architecture (ISCA 2010), pp , Kenzo Van Craeynest, Stijn Eyerman, Lieven Eeckhout, MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor, 4 th International Conference on High Performance Embedded Architectures and Compilers (HiPEAC 2009), pp , Stijn Eyerman, Lieven Eeckhout, Studying Compiler Optimizations on Superscalar Processors through Interval Analysis, 3 rd International Conference on High Performance Embedded Architectures and Compilers (HiPEAC 2008), pp , Stijn Eyerman, Lieven Eeckhout, A Memory-Level Parallelism Aware Fetch Policy for SMT Processors, 13 th International Symposium on High Performance Computer Architecture (HPCA 2007), pp , Stijn Eyerman, James E. Smith, Lieven Eeckhout, Characterizing the Branch Misprediction Penalty, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2006), pp , Stijn Eyerman, Lieven Eeckhout, Efficient Design Space Exploration of High Performance Embedded Out-of-Order Processors, Design, Automation and Test in Europe Conference and Exhibition (DATE 06), pp , Stijn Eyerman, Lieven Eeckhout, Koen De Bosschere, The Shape of the Processor Design Space and its Implications for Early Stage Explorations, 7 th International Conference on Automatic Control, Modeling and Simulation, pp , Other conference papers 1. Stijn Eyerman, Pierre Michaud, Wouter Rogiest, Revisiting Symbiotic Job Scheduling, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2015), pp. xx-yy, 2015.

5 2. Sander De Pestel, Stijn Eyerman, Lieven Eeckhout, Micro-architecture Independent Branch Behavior Characterization, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2015), pp. xx-yy, Sam Van den Steen, Sander De Pestel, Moncef Mechri, Stijn Eyerman, Trevor Carlson, David Black-Schaffer, Erik Hagersten, Lieven Eeckhout, Micro-architecture Independent Analytical Processor Performance and Power Modeling, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2015), pp. xx-yy, Osman Allam, Stijn Eyerman, Lieven Eeckhout, An Efficient CPI Stack Counter Architecture for Superscalar Processors, The Great Lakes Symposium on VLSI (GLS-VLSI 2012), pp , Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout, A Mechanistic Performance Model for Superscalar In-Order Processors, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2012), pp , Stijn Eyerman, Kristof Du Bois, Lieven Eeckhout, Speedup Stacks: Identifying Scaling Bottlenecks in Multi-Threaded Applications, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2012), pp , Maximilien Breughe, Zheng Li, Yang Chen, Stijn Eyerman, Olivier Temam, Chengyong Wu, Lieven Eeckhout, How Sensitive is Processor Customization to the Workload's Input Datasets?, IEEE 9th Symposium on Application Specific Processors (SASP 2011), pp. 1-7, Stijn Eyerman, Kenneth Hoste, Lieven Eeckhout, Mechanistic-Empirical Processor Performance Modeling for Constructing CPI Stacks on Real Hardware, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2011), pp , Stijn Eyerman, Lieven Eeckhout, James E. Smith, Studying Compiler-Microarchitecture Interactions through Interval Analysis, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007), p. 406, Lieven Eeckhout, Stijn Eyerman, Bert Callens, Koen De Bosschere, Accurately Warmed-up Trace Samples for the Evaluation of Cache Memories, The High Performance Computing Symposium (HPC2003), pp , 2003 Patents 1. Lieven Eeckhout, Stijn Eyerman, Wim Heirman, Trevor Carlson, Instruction window centric processor simulation, filed June 17, 2012 as U.S. Provisional, Application Number: 61/ Trevor Carlson, Wim Heirman, Stijn Eyerman, Lieven Eeckhout, Issue Contention modeling for interval simulation, filed June 17, 2012 as U.S. Provisional, Application Number: 61/ Trevor Carlson, Wim Heirman, Stijn Eyerman, Lieven Eeckhout, Osman Allam, Interval simulation with cycle level memory hierarchy support, filed June 17, 2012 as U.S. Provisional, Application Number: 61/ Lieven Eeckhout, Stijn Eyerman, A Counter Architecture for Online DVFS Profitability Estimation, filed Dec. 10, 2010 as U.S. Patent, Application Number: 13/516,850, granted Aug. 19, 2014 as US Patent B2. 5. Lieven Eeckhout, Stijn Eyerman, Davy Genbrugge, Methods and Systems for Simulating a Processor, filed June 1, 2010 as U.S. Patent, Application Number: 12/791,306, published Dec. 1, 2011.

6 Presentations at international conferences 1. Revisiting Symbiotic Job Scheduling, Stijn Eyerman, Pierre Michaud, Wouter Rogiest, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2015), Philadelphia, USA, April Paper presentation. 2. Multiprogram Throughput Metrics: a Systematic Approach, Stijn Eyerman, Pierre Michaud, Wouter Rogiest, HiPEAC 2015 Conference, Amsterdam, January Paper presentation. 3. Speedup Stacks: Identifying Scaling Bottlenecks in Multi-Threaded Applications, Stijn Eyerman, Kristof Du Bois, Lieven Eeckhout, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2012), New Brunswick, NJ, USA, 1-3 April Paper presentation. 4. Mechanistic-Empirical Processor Performance Modeling for Constructing CPI Stacks on Real Hardware, Stijn Eyerman, Kenneth Hoste, Lieven Eeckhout, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2011), Austin, TX, USA, April Paper presentation. 5. Probabilistic Job Symbiosis Modeling for SMT Processor Scheduling, Stijn Eyerman, Lieven Eeckhout, 15 th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2010), Pittsburgh, PA, USA, March Paper presentation. 6. Studying Compiler Optimizations on Superscalar Processors through Interval Analysis, Stijn Eyerman, Lieven Eeckhout, 3 rd International Conference on High Performance Embedded Architectures and Compilers (HiPEAC 2008), Göteborg, Sweden, January Paper presentation. 7. Studying Compiler-Microarchitecture Interactions through Interval Analysis, Stijn Eyerman, Lieven Eeckhout, James E. Smith, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007), Brasov, Romania, September Poster presentation. 8. A Memory-Level Parallelism Aware Fetch Policy for SMT Processors, Stijn Eyerman, Lieven Eeckhout, 13 th International Symposium on High Performance Computer Architecture (HPCA 2007), Phoenix, AZ, USA, February Paper presentation. 9. Characterizing the Branch Misprediction Penalty, Stijn Eyerman, James E. Smith, Lieven Eeckhout, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2006), Austin, TX, USA, March Paper presentation. 10. Efficient Design Space Exploration of High Performance Embedded Out-of-Order Processors, Stijn Eyerman, Lieven Eeckhout, Design, Automation and Test in Europe Conference and Exhibition (DATE 06), München, Germany, March Paper presentation. 11. The Shape of the Processor Design Space and its Implications for Early Stage Explorations, Stijn Eyerman, Lieven Eeckhout, Koen De Bosschere, 7 th International Conference on Automatic Control, Modeling and Simulation, Prague, Czechia, March Paper presentation. Obtained research funding 1. Collaboration Grant (Spanish government) for Josué Feliu, PhD student at the University of Valencia (costs of a 3-month research stay at Ghent University), March-June IWT PhD scholarship of Sam Van den Steen, (advisor) 3. IWT PhD scholarship of Sander De Pestel, (advisor) 4. IOF StartTT project, Ghent University, 75, Postdoctoral Fellow FWO, extension, , FWO-Flanders. 6. Postdoctoral Fellow FWO, , FWO-Flanders 7. PhD Fellow FWO, extension, , FWO- Flanders. 8. PhD Fellow FWO, , FWO- Flanders.

7 Master theses and doctoral dissertations supervising Master students 1. Kenzo Van Craeynest, Optimaliseren van geheugenparallellisme in een SMT processor, academiejaar Bart Minnaert, Analytische prestatiemodellering van DRAM-geheugen, academiejaar Maarten Heyse, Nauwkeurige on-the-fly prestatie-analyse van multi-core processors, academiejaar Stijn Souffriau, Simulatie van many-core processors, academiejaar Jeroen Rommens, Dynamische migratie van virtuele machines in een heterogeen datacenter, academiejaar PhD students 1. Maximilien Breughe (graduated December 2014) 2. Kristof Du Bois (graduated June 2014) 3. Sam Van den Steen (2nd year PhD student) 4. Sander De Pestel (2nd year PhD student) 5. Mutaz Adileh (2nd year PhD student) Member of PhD jury Stijn Polfliet, Characterization and Synthesis of Data Center Workload Optimization, supervisor Lieven Eeckhout, graduated in April Trevor Carlson, Speeding Up Architectural Simulation through High-Level Core Abstractions and Sampling, supervisor Lieven Eeckhout, graduated in May Kristof Du Bois, Performance Analysis Methods for Understanding Scaling Bottlenecks in Multi-Threaded Applications, supervisors Lieven Eeckhout and Stijn Eyerman, graduated in June 2014 Maximilien Breughe, Efficient Design Space Exploration of Embedded Microprocessors, supervisors Lieven Eeckhout and Stijn Eyerman, graduated in December Program committee member 1. FastPath 2012: Workshop on Performance Modeling and Analysis of Workload Optimized Systems (April 2012) 2. IISWC 2012: IEEE International Symposium on Workload Characterization (October 2012) 3. ISPASS 2013: International Symposium on Performance Analysis of Systems and Software (April 2013) 4. ICPP 2013: International Conference on Parallel Processing (October 2013) 5. DATE 2014: Design Automation and Test in Europe (March 2014) 6. ISPASS 2014: International Symposium on Performance Analysis of Systems and Software (March 2014) 7. HPCA 2015: International Symposium on High Performance Computer Architecture (February 2015) 8. ISPASS 2015: International Symposium on Performance Analysis of Systems and Software (March 2015) 9. CLUSTER 2015: IEEE International Conference on Cluster Computing (September 2015)

8 10. APPT 2015: International Conference on Advanced Parallel Processing Technology (August 2015) Reviewer Conferences: Euro-par 2008, DATE 2008, ISPASS 2009, FastPath 2012, IISWC 2012, MICRO 2012, ISPASS 2013, ISCA 2013, ICPP 2013, DATE 2014, ISPASS 2014, HPCA 2015, ISPASS 2015, ISCA 2015, CLUSTER 2015, APPT 2015 Journals: IEEE Transactions on Computers, ACM Transactions on Architecture and Code Optimization, Journal of Computer Science and Technology (Springer), IEEE Transactions on Parallel and Distributed Systems, Microprocessors and Microsystems (Elsevier), Journal of Parallel and Distributed Computing (Elsevier), ACM Transactions on Embedded Computing Systems, Journal of Zhejiang University Science C (Computers & Electronics) (Springer), Concurrency and Computation: Practice and Experience (Wiley), Journal of Systems Architecture (Elsevier), Parallel Processing Letters (World Scientific) Distinguished reviewer for ACM Transactions on Architecture and Code Optimization Other Best paper selection committee member for MICRO Member of IEEE and ACM

TPCalc : a throughput calculator for computer architecture studies

TPCalc : a throughput calculator for computer architecture studies TPCalc : a throughput calculator for computer architecture studies Pierre Michaud Stijn Eyerman Wouter Rogiest IRISA/INRIA Ghent University Ghent University pierre.michaud@inria.fr Stijn.Eyerman@elis.UGent.be

More information

Probabilistic Modeling for Job Symbiosis Scheduling on SMT Processors

Probabilistic Modeling for Job Symbiosis Scheduling on SMT Processors 7 Probabilistic Modeling for Job Symbiosis Scheduling on SMT Processors STIJN EYERMAN and LIEVEN EECKHOUT, Ghent University, Belgium Symbiotic job scheduling improves simultaneous multithreading (SMT)

More information

A Performance Counter Architecture for Computing Accurate CPI Components

A Performance Counter Architecture for Computing Accurate CPI Components A Performance Counter Architecture for Computing Accurate CPI Components Stijn Eyerman Lieven Eeckhout Tejas Karkhanis James E. Smith ELIS, Ghent University, Belgium ECE, University of Wisconsin Madison

More information

FAST, ACCURATE, AND VALIDATED FULL-SYSTEM SOFTWARE SIMULATION

FAST, ACCURATE, AND VALIDATED FULL-SYSTEM SOFTWARE SIMULATION ... FAST, ACCURATE, AND VALIDATED FULL-SYSTEM SOFTWARE SIMULATION OF X86 HARDWARE... THIS ARTICLE PRESENTS A FAST AND ACCURATE INTERVAL-BASED CPU TIMING MODEL THAT IS EASILY IMPLEMENTED AND INTEGRATED

More information

Energy-Efficient, High-Performance Heterogeneous Core Design

Energy-Efficient, High-Performance Heterogeneous Core Design Energy-Efficient, High-Performance Heterogeneous Core Design Raj Parihar Core Design Session, MICRO - 2012 Advanced Computer Architecture Lab, UofR, Rochester April 18, 2013 Raj Parihar Energy-Efficient,

More information

Performance Impacts of Non-blocking Caches in Out-of-order Processors

Performance Impacts of Non-blocking Caches in Out-of-order Processors Performance Impacts of Non-blocking Caches in Out-of-order Processors Sheng Li; Ke Chen; Jay B. Brockman; Norman P. Jouppi HP Laboratories HPL-2011-65 Keyword(s): Non-blocking cache; MSHR; Out-of-order

More information

Computer Architecture Performance Evaluation Methods

Computer Architecture Performance Evaluation Methods Computer Architecture Performance Evaluation Methods Copyright 2010 by Morgan & Claypool All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted

More information

RUNAHEAD EXECUTION: AN EFFECTIVE ALTERNATIVE TO LARGE INSTRUCTION WINDOWS

RUNAHEAD EXECUTION: AN EFFECTIVE ALTERNATIVE TO LARGE INSTRUCTION WINDOWS RUNAHEAD EXECUTION: AN EFFECTIVE ALTERNATIVE TO LARGE INSTRUCTION WINDOWS AN INSTRUCTION WINDOW THAT CAN TOLERATE LATENCIES TO DRAM MEMORY IS PROHIBITIVELY COMPLEX AND POWER HUNGRY. TO AVOID HAVING TO

More information

A New Methodology for Studying Realistic Processors in Computer Science Degrees

A New Methodology for Studying Realistic Processors in Computer Science Degrees A New Methodology for Studying Realistic Processors in Computer Science Degrees C. Gómez Departamento de Sistemas Informáticos Universidad de Castilla-La Mancha Albacete, Spain Crispin.Gomez@uclm.es M.E.

More information

Education Ph.D. in Computer Science September 2011 University of California, Santa Barbara

Education Ph.D. in Computer Science September 2011 University of California, Santa Barbara Mohit Tiwari Electrical and Computer Engineering University of Texas, Austin tiwari@austin.utexas.edu (805) 708-4506 http://users.ece.utexas.edu/ tiwari Research Interests Computer architecture and Secure

More information

Architecture Support for Big Data Analytics

Architecture Support for Big Data Analytics Architecture Support for Big Data Analytics Ahsan Javed Awan EMJD-DC (KTH-UPC) (http://uk.linkedin.com/in/ahsanjavedawan/) Supervisors: Mats Brorsson(KTH), Eduard Ayguade(UPC), Vladimir Vlassov(KTH) 1

More information

Research Statement. Hung-Wei Tseng

Research Statement. Hung-Wei Tseng Research Statement Hung-Wei Tseng I have research experience in many areas of computer science and engineering, including computer architecture [1, 2, 3, 4], high-performance and reliable storage systems

More information

http://www.ece.ucy.ac.cy/labs/easoc/people/kyrkou/index.html BSc in Computer Engineering, University of Cyprus

http://www.ece.ucy.ac.cy/labs/easoc/people/kyrkou/index.html BSc in Computer Engineering, University of Cyprus Christos Kyrkou, PhD KIOS Research Center for Intelligent Systems and Networks, Department of Electrical and Computer Engineering, University of Cyprus, Tel:(+357)99569478, email: ckyrkou@gmail.com Education

More information

The University of Arizona Department of Electrical and Computer Engineering Term Paper (and Presentation) for ECE 569 Fall 2006 21 February 2006

The University of Arizona Department of Electrical and Computer Engineering Term Paper (and Presentation) for ECE 569 Fall 2006 21 February 2006 The University of Arizona Department of Electrical and Computer Engineering Term Paper (and Presentation) for ECE 569 Fall 2006 21 February 2006 The term project for this semester is an independent study

More information

MONITORING power consumption of a microprocessor

MONITORING power consumption of a microprocessor IEEE TRANSACTIONS ON CIRCUIT AND SYSTEMS-II, VOL. X, NO. Y, JANUARY XXXX 1 A Study on the use of Performance Counters to Estimate Power in Microprocessors Rance Rodrigues, Member, IEEE, Arunachalam Annamalai,

More information

Interval Simulation: Raising the Level of Abstraction in Architectural Simulation

Interval Simulation: Raising the Level of Abstraction in Architectural Simulation Interval Simulation: Raising the Level of Abstraction in Architectural Simulation Davy Genbrugge Stijn Eyerman Lieven Eeckhout Ghent University, Belgium Abstract Detailed architectural simulators suffer

More information

Elastic VM for Rapid and Optimum Virtualized

Elastic VM for Rapid and Optimum Virtualized Elastic VM for Rapid and Optimum Virtualized Resources Allocation Wesam Dawoud PhD. Student Hasso Plattner Institute Potsdam, Germany 5th International DMTF Academic Alliance Workshop on Systems and Virtualization

More information

D6.2 Dissemination & Collaboration Strategy Document Version 1.0

D6.2 Dissemination & Collaboration Strategy Document Version 1.0 D6.2 Dissemination & Collaboration Strategy Document Document Information Contract Number 318693 Project Website www.paradime-project.eu Contractual Deadline Month 4 (01 Jan 2013) Dissemination Level Nature

More information

Visualization Enables the Programmer to Reduce Cache Misses

Visualization Enables the Programmer to Reduce Cache Misses Visualization Enables the Programmer to Reduce Cache Misses Kristof Beyls and Erik H. D Hollander and Yijun Yu Electronics and Information Systems Ghent University Sint-Pietersnieuwstraat 41, Gent, Belgium

More information

Scalability Bottlenecks Discovery in MPSoC Platforms Using Data Mining on Simulation Traces

Scalability Bottlenecks Discovery in MPSoC Platforms Using Data Mining on Simulation Traces Scalability Bottlenecks Discovery in MPSoC Platforms Using Data Mining on Simulation Traces Sofiane Lagraa 1,2, Alexandre Termier 1 and Frédéric Pétrot 2 Université Grenoble Alpes. CNRS, 1 LIG, 2 TIMA,

More information

This Unit: Multithreading (MT) CIS 501 Computer Architecture. Performance And Utilization. Readings

This Unit: Multithreading (MT) CIS 501 Computer Architecture. Performance And Utilization. Readings This Unit: Multithreading (MT) CIS 501 Computer Architecture Unit 10: Hardware Multithreading Application OS Compiler Firmware CU I/O Memory Digital Circuits Gates & Transistors Why multithreading (MT)?

More information

Operating System Impact on SMT Architecture

Operating System Impact on SMT Architecture Operating System Impact on SMT Architecture The work published in An Analysis of Operating System Behavior on a Simultaneous Multithreaded Architecture, Josh Redstone et al., in Proceedings of the 9th

More information

How to Optimize 3D CMP Cache Hierarchy

How to Optimize 3D CMP Cache Hierarchy 3D Cache Hierarchy Optimization Leonid Yavits, Amir Morad, Ran Ginosar Department of Electrical Engineering Technion Israel Institute of Technology Haifa, Israel yavits@tx.technion.ac.il, amirm@tx.technion.ac.il,

More information

Capstone Overview Architecture for Big Data & Machine Learning. Debbie Marr ICRI-CI 2015 Retreat, May 5, 2015

Capstone Overview Architecture for Big Data & Machine Learning. Debbie Marr ICRI-CI 2015 Retreat, May 5, 2015 Capstone Overview Architecture for Big Data & Machine Learning Debbie Marr ICRI-CI 2015 Retreat, May 5, 2015 Accelerators Memory Traffic Reduction Memory Intensive Arch. Context-based Prefetching Deep

More information

Scalable Cache Miss Handling For High MLP

Scalable Cache Miss Handling For High MLP Scalable Cache Miss Handling For High MLP James Tuck, Luis Ceze, and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Introduction Checkpointed processors are promising

More information

POWER8 Performance Analysis

POWER8 Performance Analysis POWER8 Performance Analysis Satish Kumar Sadasivam Senior Performance Engineer, Master Inventor IBM Systems and Technology Labs satsadas@in.ibm.com #OpenPOWERSummit Join the conversation at #OpenPOWERSummit

More information

Multithreading Lin Gao cs9244 report, 2006

Multithreading Lin Gao cs9244 report, 2006 Multithreading Lin Gao cs9244 report, 2006 2 Contents 1 Introduction 5 2 Multithreading Technology 7 2.1 Fine-grained multithreading (FGMT)............. 8 2.2 Coarse-grained multithreading (CGMT)............

More information

Performance Modeling and Analysis of a Database Server with Write-Heavy Workload

Performance Modeling and Analysis of a Database Server with Write-Heavy Workload Performance Modeling and Analysis of a Database Server with Write-Heavy Workload Manfred Dellkrantz, Maria Kihl 2, and Anders Robertsson Department of Automatic Control, Lund University 2 Department of

More information

Muhammed F. Mudawwar

Muhammed F. Mudawwar Muhammed F. Mudawwar Computer Science Department The American University in Cairo 113 Kasr el Aini Street, Cairo, Egypt Office: +20 2 797-5305 Email: mudawwar@aucegypt.edu Web: http://www.cs.aucegypt.edu/~mudawwar

More information

The European Industrial Doctoral School E.I.D.S.

The European Industrial Doctoral School E.I.D.S. E.I.D.S. Mutual Collaboration for the Future Innovation Europe The European Industrial Doctoral School E.I.D.S. A spin-off from DOC-CAREERS II Prof. Petter Gustafsson Director, Umeå University Industrial

More information

Zukang Shen Home Address: Work: 214-480-3198 707 Kindred Lane Cell: 512-619-7927

Zukang Shen Home Address: Work: 214-480-3198 707 Kindred Lane Cell: 512-619-7927 Zukang Shen Home Address: Work: 214-480-3198 707 Kindred Lane Cell: 512-619-7927 Richardson, TX 75080 Email: zukang.shen@ti.com Education: The University of Texas, Austin, TX, USA Jun. 2003 May 2006 Ph.D.,

More information

Parallel Programming Survey

Parallel Programming Survey Christian Terboven 02.09.2014 / Aachen, Germany Stand: 26.08.2014 Version 2.3 IT Center der RWTH Aachen University Agenda Overview: Processor Microarchitecture Shared-Memory

More information

OpenSPARC Program. David Weaver Principal Engineer, UltraSPARC Architecture Principal OpenSPARC Evangelist Sun Microsystems, Inc. www.opensparc.

OpenSPARC Program. David Weaver Principal Engineer, UltraSPARC Architecture Principal OpenSPARC Evangelist Sun Microsystems, Inc. www.opensparc. OpenSPARC Program David Weaver Principal Engineer, UltraSPARC Architecture Principal OpenSPARC Evangelist Sun Microsystems, Inc. 1 Agenda What is OpenSPARC? OpenSPARC University Program OpenSPARC Resources

More information

Lecture 11: Multi-Core and GPU. Multithreading. Integration of multiple processor cores on a single chip.

Lecture 11: Multi-Core and GPU. Multithreading. Integration of multiple processor cores on a single chip. Lecture 11: Multi-Core and GPU Multi-core computers Multithreading GPUs General Purpose GPUs Zebo Peng, IDA, LiTH 1 Multi-Core System Integration of multiple processor cores on a single chip. To provide

More information

EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000. ILP Execution

EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000. ILP Execution EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000 Lecture #11: Wednesday, 3 May 2000 Lecturer: Ben Serebrin Scribe: Dean Liu ILP Execution

More information

Dr Christos Anagnostopoulos. 1. Education. 2. Present employment. 3. Previous Appointments. Page 1 of 6

Dr Christos Anagnostopoulos. 1. Education. 2. Present employment. 3. Previous Appointments. Page 1 of 6 Dr Christos Anagnostopoulos 1. Education Ph.D. in Computer Science Department of Informatics & Telecommunications, National and Kapodistrian University of Athens, July 2008 Dissertation: Pervasive and

More information

ICT Software & Data processing

ICT Software & Data processing Post-academic course ICT Software & Data processing Scientific Coordination Prof. dr. ir. Koen De Bosschere Department of Electronics, Parallel Information Systems Group, Ghent University Prof. dr. ir.

More information

Categories and Subject Descriptors C.1.1 [Processor Architecture]: Single Data Stream Architectures. General Terms Performance, Design.

Categories and Subject Descriptors C.1.1 [Processor Architecture]: Single Data Stream Architectures. General Terms Performance, Design. Enhancing Memory Level Parallelism via Recovery-Free Value Prediction Huiyang Zhou Thomas M. Conte Department of Electrical and Computer Engineering North Carolina State University 1-919-513-2014 {hzhou,

More information

Course Development of Programming for General-Purpose Multicore Processors

Course Development of Programming for General-Purpose Multicore Processors Course Development of Programming for General-Purpose Multicore Processors Wei Zhang Department of Electrical and Computer Engineering Virginia Commonwealth University Richmond, VA 23284 wzhang4@vcu.edu

More information

Introduction to Cloud Computing

Introduction to Cloud Computing Introduction to Cloud Computing Parallel Processing I 15 319, spring 2010 7 th Lecture, Feb 2 nd Majd F. Sakr Lecture Motivation Concurrency and why? Different flavors of parallel computing Get the basic

More information

Copyright. Ajay Manohar Joshi

Copyright. Ajay Manohar Joshi Copyright by Ajay Manohar Joshi 2007 The Dissertation Committee for Ajay Manohar Joshi certifies that this is the approved version of the following dissertation: Constructing Adaptable and Scalable Synthetic

More information

Optimizing Shared Resource Contention in HPC Clusters

Optimizing Shared Resource Contention in HPC Clusters Optimizing Shared Resource Contention in HPC Clusters Sergey Blagodurov Simon Fraser University Alexandra Fedorova Simon Fraser University Abstract Contention for shared resources in HPC clusters occurs

More information

THE BASICS OF PERFORMANCE- MONITORING HARDWARE

THE BASICS OF PERFORMANCE- MONITORING HARDWARE THE BASICS OF PERFORMANCE- MONITORING HARDWARE PERFORMANCE-MONITORING FEATURES PROVIDE DATA THAT DESCRIBE HOW AN APPLICATION AND THE OPERATING SYSTEM ARE PERFORMING ON THE PROCESSOR. THIS INFORMATION CAN

More information

MATTHEW K. FARRENS. Department of Computer Science University of California, Davis Davis, CA 95616 (530) 752-9678 e-mail:farrens@cs.ucdavis.

MATTHEW K. FARRENS. Department of Computer Science University of California, Davis Davis, CA 95616 (530) 752-9678 e-mail:farrens@cs.ucdavis. EDUCATION MATTHEW K. FARRENS Department of Computer Science University of California, Davis Davis, CA 95616 (530) 752-9678 e-mail:farrens@cs.ucdavis.edu Ph.D. in Electrical and Computer Engineering received

More information

International Summer School on Embedded Systems

International Summer School on Embedded Systems International Summer School on Embedded Systems Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences Shenzhen, July 30 -- August 3, 2012 Sponsored by Chinese Academy of Sciences and

More information

Cache Replacement Policies for Embedded Mobile Systems: Performance

Cache Replacement Policies for Embedded Mobile Systems: Performance Cache Replacement Policies for Embedded Mobile Systems: Performance and Power Consumption 1 1, First Author Henan University of Technology, China, orangeding@163.com Abstract With fast advances in processor

More information

Modeling Virtual Machine Performance: Challenges and Approaches

Modeling Virtual Machine Performance: Challenges and Approaches Modeling Virtual Machine Performance: Challenges and Approaches Omesh Tickoo Ravi Iyer Ramesh Illikkal Don Newell Intel Corporation Intel Corporation Intel Corporation Intel Corporation omesh.tickoo@intel.com

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

Bart Dierynck. Contact Information. Faculty and Professional Experience. Education

Bart Dierynck. Contact Information. Faculty and Professional Experience. Education Contact Information Bart Dierynck Tilburg University Tilburg School of Economics and Management (TiSEM) Department of Accounting Warandelaan 2 P.O. Box 90153 5000 LE Tilburg The Netherlands Tel: 0031 13

More information

A SURVEY ON MAPREDUCE IN CLOUD COMPUTING

A SURVEY ON MAPREDUCE IN CLOUD COMPUTING A SURVEY ON MAPREDUCE IN CLOUD COMPUTING Dr.M.Newlin Rajkumar 1, S.Balachandar 2, Dr.V.Venkatesakumar 3, T.Mahadevan 4 1 Asst. Prof, Dept. of CSE,Anna University Regional Centre, Coimbatore, newlin_rajkumar@yahoo.co.in

More information

A Study of Performance Monitoring Unit, perf and perf_events subsystem

A Study of Performance Monitoring Unit, perf and perf_events subsystem A Study of Performance Monitoring Unit, perf and perf_events subsystem Team Aman Singh Anup Buchke Mentor Dr. Yann-Hang Lee Summary Performance Monitoring Unit, or the PMU, is found in all high end processors

More information

Curriculum Vitae. Education Nanjing University, International Business School, Nanjing, P. R.China Bachelor of Arts in Economics, July 1994

Curriculum Vitae. Education Nanjing University, International Business School, Nanjing, P. R.China Bachelor of Arts in Economics, July 1994 Curriculum Vitae Zhining Hu Office Contact Information Department of Economics Gettysburg College Gettysburg, PA 17325 Office phone number: 717-337-6676 E-mail address: zhu@gettysburg.edu Education Nanjing

More information

Secured Embedded Many-Core Accelerator for Big Data Processing

Secured Embedded Many-Core Accelerator for Big Data Processing Secured Embedded Many- Accelerator for Big Data Processing Amey Kulkarni PhD Candidate Advisor: Professor Tinoosh Mohsenin Energy Efficient High Performance Computing (EEHPC) Lab University of Maryland,

More information

This Unit: Putting It All Together. CIS 501 Computer Architecture. Sources. What is Computer Architecture?

This Unit: Putting It All Together. CIS 501 Computer Architecture. Sources. What is Computer Architecture? This Unit: Putting It All Together CIS 501 Computer Architecture Unit 11: Putting It All Together: Anatomy of the XBox 360 Game Console Slides originally developed by Amir Roth with contributions by Milo

More information

Technical Report. Complexity-effective superscalar embedded processors using instruction-level distributed processing. Ian Caulfield.

Technical Report. Complexity-effective superscalar embedded processors using instruction-level distributed processing. Ian Caulfield. Technical Report UCAM-CL-TR-707 ISSN 1476-2986 Number 707 Computer Laboratory Complexity-effective superscalar embedded processors using instruction-level distributed processing Ian Caulfield December

More information

Globule: a Platform for Self-Replicating Web Documents

Globule: a Platform for Self-Replicating Web Documents Globule: a Platform for Self-Replicating Web Documents Guillaume Pierre Maarten van Steen Vrije Universiteit, Amsterdam Internal report IR-483 January 2001 Abstract Replicating Web documents at a worldwide

More information

Historically, Huge Performance Gains came from Huge Clock Frequency Increases Unfortunately.

Historically, Huge Performance Gains came from Huge Clock Frequency Increases Unfortunately. Historically, Huge Performance Gains came from Huge Clock Frequency Increases Unfortunately. Hardware Solution Evolution of Computer Architectures Micro-Scopic View Clock Rate Limits Have Been Reached

More information

Impact of Java Application Server Evolution on Computer System Performance

Impact of Java Application Server Evolution on Computer System Performance Impact of Java Application Server Evolution on Computer System Performance Peng-fei Chuang, Celal Ozturk, Khun Ban, Huijun Yan, Kingsum Chow, Resit Sendag Intel Corporation; {peng-fei.chuang, khun.ban,

More information

Performance Analysis of Thread Mappings with a Holistic View of the Hardware Resources

Performance Analysis of Thread Mappings with a Holistic View of the Hardware Resources Performance Analysis of Thread Mappings with a Holistic View of the Hardware Resources Wei Wang, Tanima Dey, Jason Mars, Lingjia Tang, Jack Davidson, Mary Lou Soffa Department of Computer Science University

More information

Multi-core architectures. Jernej Barbic 15-213, Spring 2007 May 3, 2007

Multi-core architectures. Jernej Barbic 15-213, Spring 2007 May 3, 2007 Multi-core architectures Jernej Barbic 15-213, Spring 2007 May 3, 2007 1 Single-core computer 2 Single-core CPU chip the single core 3 Multi-core architectures This lecture is about a new trend in computer

More information

Linux Performance Optimizations for Big Data Environments

Linux Performance Optimizations for Big Data Environments Linux Performance Optimizations for Big Data Environments Dominique A. Heger Ph.D. DHTechnologies (Performance, Capacity, Scalability) www.dhtusa.com Data Nubes (Big Data, Hadoop, ML) www.datanubes.com

More information

Center for Programming Models for Scalable Parallel Computing

Center for Programming Models for Scalable Parallel Computing Overall Project Title: Coordinating PI: Subproject Title: PI: Reporting Period: Center for Programming Models for Scalable Parallel Computing Rusty Lusk, ANL Future Programming Models Guang R. Gao Final

More information

Multicore Processor, Parallelism and Their Performance Analysis

Multicore Processor, Parallelism and Their Performance Analysis Multicore Processor, Parallelism and Their Performance Analysis I Rakhee Chhibber, II Dr. R.B.Garg I Research Scholar, MEWAR University, Chittorgarh II Former Professor, Delhi School of Professional Studies

More information

Software Distributed Shared Memory Scalability and New Applications

Software Distributed Shared Memory Scalability and New Applications Software Distributed Shared Memory Scalability and New Applications Mats Brorsson Department of Information Technology, Lund University P.O. Box 118, S-221 00 LUND, Sweden email: Mats.Brorsson@it.lth.se

More information

EURECOM Double Degree M.S. Diploma. Prof. Pietro Michiardi Pietro.Michiardi@eurecom.fr

EURECOM Double Degree M.S. Diploma. Prof. Pietro Michiardi Pietro.Michiardi@eurecom.fr EURECOM Double Degree M.S. Diploma Prof. Pietro Michiardi Pietro.Michiardi@eurecom.fr What is EURECOM? Graduate School and Research Lab Founded in 1991 by EPFL and TELECOM Paris PolyTech CAMPUS EURECOM

More information

How To Build A Cloud Computer

How To Build A Cloud Computer Introducing the Singlechip Cloud Computer Exploring the Future of Many-core Processors White Paper Intel Labs Jim Held Intel Fellow, Intel Labs Director, Tera-scale Computing Research Sean Koehl Technology

More information

Performance Evaluation of Multi-core processors with Varied Interconnect Networks

Performance Evaluation of Multi-core processors with Varied Interconnect Networks Performance Evaluation of Multi-core processors with Varied Interconnect Networks Ram Prasad Mohanty, Ashok Kumar Turuk, Bibhudatta Sahoo Department of Computer Science and Enginee ring National Institute

More information

Energy Efficient Job Scheduling in Single-ISA Heterogeneous Chip-Multiprocessors

Energy Efficient Job Scheduling in Single-ISA Heterogeneous Chip-Multiprocessors Energy Efficient Job Scheduling in Single-ISA Heterogeneous Chip-Multiprocessors Ying Zhang 1, Lide Duan 3, Bin Li 2, Lu Peng 1, Srinivasan Sadagopan 3 1 3 Department of Electrical and Computer Engineering

More information

An Analysis of Power Reduction in Datacenters using Heterogeneous Chip Multiprocessors

An Analysis of Power Reduction in Datacenters using Heterogeneous Chip Multiprocessors An Analysis of ower Reduction in Datacenters using Heterogeneous Chip Multiprocessors Vishal Gupta Georgia Tech Atlanta, GA USA vishal@cc.gatech.edu Ripal Nathuji Microsoft Research Redmond, WA USA ripaln@microsoft.com

More information

5/03/2014. EDUCATION 2005 Ph.D. in Computers and Information Systems

5/03/2014. EDUCATION 2005 Ph.D. in Computers and Information Systems Hila Etzion Assistant Professor of Technology and Operations Stephen M. Ross School of Business University of Michigan 701 Tappan St. Ann Arbor, MI 48109-1234 (734)-358-1854 E-mail: etzionh@umich.edu EDUCATION

More information

Dynamic Scheduling Issues in SMT Architectures

Dynamic Scheduling Issues in SMT Architectures Dynamic Scheduling Issues in SMT Architectures Chulho Shin System Design Technology Laboratory Samsung Electronics Corporation chulho.shin@samsung.com Seong-Won Lee Dept. of Electrical Engineering - Systems

More information

Sergio.Fernandes@tecnico.ulisboa.pt IST/INESC-ID. http://fenix.tecnico.ulisboa.pt/homepage/ist14264 R. Alves Redol 9 Sala 132 1000-029 Lisboa PORTUGAL

Sergio.Fernandes@tecnico.ulisboa.pt IST/INESC-ID. http://fenix.tecnico.ulisboa.pt/homepage/ist14264 R. Alves Redol 9 Sala 132 1000-029 Lisboa PORTUGAL Sérgio Miguel Fernandes Sergio.Fernandes@tecnico.ulisboa.pt IST/INESC-ID http://fenix.tecnico.ulisboa.pt/homepage/ist14264 R. Alves Redol 9 Sala 132 1000-029 Lisboa PORTUGAL Curriculum Vitae Personal Data

More information

Thread level parallelism

Thread level parallelism Thread level parallelism ILP is used in straight line code or loops Cache miss (off-chip cache and main memory) is unlikely to be hidden using ILP. Thread level parallelism is used instead. Thread: process

More information

PEDRO SEQUEIRA CURRICULUM VITAE

PEDRO SEQUEIRA CURRICULUM VITAE PEDRO SEQUEIRA CURRICULUM VITAE IST Taguspark - Av. Prof. Cavaco Silva, 2.N.9-15 2744-016 Porto Salvo, Portugal +351 214 233 508 pedro.sequeira@gaips.inesc- id.pt SUMMARY I am a post- doctoral associate

More information

Title ISSN SJR H index Country Foundations and Trends in Information 1554 1 Retrieval

Title ISSN SJR H index Country Foundations and Trends in Information 1554 1 Retrieval Title ISSN SJR H index Country Foundations and Trends in Information 1554 1 Retrieval 0677 Q1 6,536 12 United States 2 Swarm and Evolutionary Computation 2210 6502 Q1 3,364 8 Netherlands 3 IEEE Transactions

More information

The Behavior of Efficient Virtual Machine Interpreters on Modern Architectures

The Behavior of Efficient Virtual Machine Interpreters on Modern Architectures The Behavior of Efficient Virtual Machine Interpreters on Modern Architectures M. Anton Ertl and David Gregg 1 Institut für Computersprachen, TU Wien, A-1040 Wien anton@complang.tuwien.ac.at 2 Department

More information

XIAOBAI (BOB) LI ACADEMIC EXPERIENCE RESEARCH HIGHLIGHTS TEACHING HIGHLIGHTS

XIAOBAI (BOB) LI ACADEMIC EXPERIENCE RESEARCH HIGHLIGHTS TEACHING HIGHLIGHTS XIAOBAI (BOB) LI Department of Operations & Information Systems Manning School of Business One University Ave., Lowell, MA 01854 Phone: 978-934-2707 Email: xiaobai_li@uml.edu ACADEMIC EXPERIENCE 2011-present

More information

Min Si. Argonne National Laboratory Mathematics and Computer Science Division

Min Si. Argonne National Laboratory Mathematics and Computer Science Division Min Si Contact Information Address 9700 South Cass Avenue, Bldg. 240, Lemont, IL 60439, USA Office +1 630-252-4249 Mobile +1 630-880-4388 E-mail msi@anl.gov Homepage http://www.mcs.anl.gov/~minsi/ Current

More information

Control 2004, University of Bath, UK, September 2004

Control 2004, University of Bath, UK, September 2004 Control, University of Bath, UK, September ID- IMPACT OF DEPENDENCY AND LOAD BALANCING IN MULTITHREADING REAL-TIME CONTROL ALGORITHMS M A Hossain and M O Tokhi Department of Computing, The University of

More information

Field G. Van Zee. Pursue research and development activities in high-performance computing.

Field G. Van Zee. Pursue research and development activities in high-performance computing. Field G. Van Zee Office: GDC 5.806 The Institute for Computational Engineering and Sciences Department of Computer Sciences Austin, Texas 78712 512.415.2863 field@cs.utexas.edu Citizenship: United States

More information

Experimental Evaluation of Horizontal and Vertical Scalability of Cluster-Based Application Servers for Transactional Workloads

Experimental Evaluation of Horizontal and Vertical Scalability of Cluster-Based Application Servers for Transactional Workloads 8th WSEAS International Conference on APPLIED INFORMATICS AND MUNICATIONS (AIC 8) Rhodes, Greece, August 2-22, 28 Experimental Evaluation of Horizontal and Vertical Scalability of Cluster-Based Application

More information

Curriculum Vitae of. Vicky G. Papadopoulou

Curriculum Vitae of. Vicky G. Papadopoulou Curriculum Vitae of Vicky G. Papadopoulou Personal Information Full Name: Vicky G. Papadopoulou Home Address: Odysseos 23, Strovolos, PO Box 2040, Nicosia, Cyprus Phones: Office: +357 22 713046 Email:

More information

Energy efficiency in HPC :

Energy efficiency in HPC : Energy efficiency in HPC : A new trend? A software approach to save power but still increase the number or the size of scientific studies! 19 Novembre 2012 The EDF Group in brief A GLOBAL LEADER IN ELECTRICITY

More information

PyCompArch: Python-Based Modules for Exploring Computer Architecture Concepts

PyCompArch: Python-Based Modules for Exploring Computer Architecture Concepts PyCompArch: Python-Based Modules for Exploring Computer Architecture Concepts Workshop on Computer Architecture Education 2015 Dan Connors, Kyle Dunn, Ryan Bueter Department of Electrical Engineering University

More information

PART IV Performance oriented design, Performance testing, Performance tuning & Performance solutions. Outline. Performance oriented design

PART IV Performance oriented design, Performance testing, Performance tuning & Performance solutions. Outline. Performance oriented design PART IV Performance oriented design, Performance testing, Performance tuning & Performance solutions Slide 1 Outline Principles for performance oriented design Performance testing Performance tuning General

More information

Performance Monitoring of Parallel Scientific Applications

Performance Monitoring of Parallel Scientific Applications Performance Monitoring of Parallel Scientific Applications Abstract. David Skinner National Energy Research Scientific Computing Center Lawrence Berkeley National Laboratory This paper introduces an infrastructure

More information

a.r.t.e.s. Graduate School for the Humanities Cologne

a.r.t.e.s. Graduate School for the Humanities Cologne a.r.t.e.s. Graduate School for the Humanities Cologne Graduate School of the Faculty of Arts and Humanities of the University of Cologne Dr. Artemis Klidis-Honecker / Aiko Wolter M.A. PILOT PROJECT: a.r.t.e.s.

More information

Curriculum Vitae. Vladimír Guzma. Flat 16, Moore Court, 2 Dodd Road, WD24 5DA, Watford, United Kingdom Telephone(s) +44 (0)7581 342 766

Curriculum Vitae. Vladimír Guzma. Flat 16, Moore Court, 2 Dodd Road, WD24 5DA, Watford, United Kingdom Telephone(s) +44 (0)7581 342 766 Curriculum Vitae Personal information Surname(s) / First name(s) Address(es) Flat 16, Moore Court, 2 Dodd Road, WD24 5DA, Watford, United Kingdom Telephone(s) +44 (0)7581 342 766 Email(s) Nationality(-ies)

More information

MULTICORE RESOURCE MANAGEMENT

MULTICORE RESOURCE MANAGEMENT ... MULTICORE RESOURCE MANAGEMENT... Kyle J. Nesbit James E. Smith University of Wisconsin Madison Miquel Moreto Polytechnic University of Catalonia Francisco J. Cazorla Barcelona Supercomputing Center

More information

EIT ICT Labs MASTER SCHOOL ES Programme Specialisations

EIT ICT Labs MASTER SCHOOL ES Programme Specialisations EIT ICT Labs MASTER SCHOOL ES Programme Specialisations ES EIT ICT Labs Master Programme Embedded Systems The programme in Embedded Systems focuses on enabling technologies and design methodologies for

More information

Performance evaluation

Performance evaluation Performance evaluation Arquitecturas Avanzadas de Computadores - 2547021 Departamento de Ingeniería Electrónica y de Telecomunicaciones Facultad de Ingeniería 2015-1 Bibliography and evaluation Bibliography

More information

End-user Tools for Application Performance Analysis Using Hardware Counters

End-user Tools for Application Performance Analysis Using Hardware Counters 1 End-user Tools for Application Performance Analysis Using Hardware Counters K. London, J. Dongarra, S. Moore, P. Mucci, K. Seymour, T. Spencer Abstract One purpose of the end-user tools described in

More information

Claire Johnston, PhD Curriculum vitae September 2015

Claire Johnston, PhD Curriculum vitae September 2015 Claire Johnston, PhD Curriculum vitae September 2015 Claire Johnston 1 EDUCATION 2012-2015 Phd Psychology, University of Lausanne, Switzerland (January 2012 June 2015) Swiss National Science Foundation

More information

Architectural Support for Enhanced SMT Job Scheduling

Architectural Support for Enhanced SMT Job Scheduling Architectural Support for Enhanced SMT Job Scheduling Alex Settle Joshua Kihm Andrew Janiszewski Dan Connors University of Colorado at Boulder Department of Electrical and Computer Engineering 5 UCB, Boulder,

More information

A Hybrid Analytical Modeling of Pending Cache Hits, Data Prefetching, and MSHRs 1

A Hybrid Analytical Modeling of Pending Cache Hits, Data Prefetching, and MSHRs 1 A Hybrid Analytical Modeling of Pending Cache Hits, Data Prefetching, and MSHRs 1 XI E. CHEN and TOR M. AAMODT University of British Columbia This paper proposes techniques to predict the performance impact

More information

Workshop Program 23 October 2015

Workshop Program 23 October 2015 IEEE VIRTUAL WORKSHOP ON EARLY CAREER FACULTY DEVELOPMENT Student Assessment Workshop Program 23 October 2015 Time: 1100 1300 hours (all times in Eastern Time Zone) AGENDA 1100 1105 Opening Address Dr.

More information

Unleashing the Performance Potential of GPUs for Atmospheric Dynamic Solvers

Unleashing the Performance Potential of GPUs for Atmospheric Dynamic Solvers Unleashing the Performance Potential of GPUs for Atmospheric Dynamic Solvers Haohuan Fu haohuan@tsinghua.edu.cn High Performance Geo-Computing (HPGC) Group Center for Earth System Science Tsinghua University

More information

Compatible Phase Co-Scheduling on a CMP of Multi-Threaded Processors Ali El-Moursy?, Rajeev Garg?, David H. Albonesi y and Sandhya Dwarkadas?

Compatible Phase Co-Scheduling on a CMP of Multi-Threaded Processors Ali El-Moursy?, Rajeev Garg?, David H. Albonesi y and Sandhya Dwarkadas? Compatible Phase Co-Scheduling on a CMP of Multi-Threaded Processors Ali El-Moursy?, Rajeev Garg?, David H. Albonesi y and Sandhya Dwarkadas?? Depments of Electrical and Computer Engineering and of Computer

More information

MATTEO RIONDATO Curriculum vitae

MATTEO RIONDATO Curriculum vitae MATTEO RIONDATO Curriculum vitae 100 Avenue of the Americas, 16 th Fl. New York, NY 10013, USA +1 646 292 6641 riondato@acm.org http://matteo.rionda.to EDUCATION Ph.D. Computer Science, Brown University,

More information

Computer Architecture TDTS10

Computer Architecture TDTS10 why parallelism? Performance gain from increasing clock frequency is no longer an option. Outline Computer Architecture TDTS10 Superscalar Processors Very Long Instruction Word Processors Parallel computers

More information