Multipliers. Introduction

Size: px
Start display at page:

Download "Multipliers. Introduction"

Transcription

1 Multipliers Introduction Multipliers play an important role in today s digital signal processing and various other applications. With advances in technology, many researchers have tried and are trying to design multipliers which offer either of the following design targets high speed, low power consumption, regularity of layout and hence less area or even combination of them in one multiplier thus making them suitable for various high speed, low power and compact VLSI implementation. The common multiplication method is add and shift algorithm. In parallel multipliers number of partial products to be added is the main parameter that determines the performance of the multiplier. To reduce the number of partial products to be added, Modified Booth algorithm is one of the most popular algorithms. To achieve speed improvements Wallace Tree algorithm can be used to reduce the number of sequential adding stages. urther by combining both Modified Booth algorithm and Wallace Tree technique we can see advantage of both algorithms in one multiplier. However with increasing parallelism, the amount of shifts between the partial products and intermediate sums to be added will increase which may result in reduced speed, increase in silicon area due to irregularity of structure and also increased power consumption due to increase in interconnect resulting from complex routing. On the other hand serial-parallel multipliers compromise speed to achieve better performance for area and power consumption. The selection of a parallel or serial multiplier actually depends on the nature of application. In this lecture we introduce the multiplication algorithms and architecture and compare them in terms of speed, area, power and combination of these metrics. Page of 39

2 Multiplication lgorithm The multiplication algorithm for an N bit multiplicand by N bit multiplier is shown below: Y= Y n- Y n-...y Y Y Multiplicand X= X n- X n-... X X X Multiplier Generally Yn-X Yn-X Yn-3X YX YX Yn-X Yn-X Yn-3X YX YX Yn-X Yn-X Yn-3X YX YX..... Yn-Xn- Yn-X n- Yn-3X n- Y= Y n- Y n-...y Y Y X= X n- X n-... X X X ================================================= YXn- YXn- Yn-Xn- Yn-Xn- Yn-3Xn- YXn- YXn Pn- Pn- Pn-3 P P P Example 4-bits 4-bits Page of 39

3 ND gates are used to generate the Partial Products, PP, If the multiplicand is N-bits and the Multiplier is M-bits then there is N* M partial product. The way that the partial products are generated or summed up is the difference between the different architectures of various multipliers. Multiplication of binary numbers can be decomposed into additions. Consider the multiplication of two 8-bit numbers and B to generate the 6 bit product P X B7 B6 B5 B4 B3 B B B B 6.B 5.B 4.B 3.B.B.B.B 7.B 6.B 5.B 4.B 3.B.B.B.B 7.B 6.B 5.B 4.B 3.B.B.B.B 7.B3 6.B3 5.B3 4.B3 3.B3.B3.B3.B3 7.B4 6.B4 5.B4 4.B4 3.B4.B4.B4.B4 7.B5 6.B5 5.B5 4.B5 3.B5.B5.B5.B5 7.B6 6.B6 5.B6 4.B6 3.B6.B6.B6.B6 7.B7 6.B7 5.B7 4.B7 3.B7.B7.B7.B7 Patial Products to be added P5 P4 P3 P P P P9 P8 P7 P6 P5 P4 P3 P P P The equation for the addition is: P(m n) (m)b(n) m n i j i j a. ib j Multiplication lgorithm If the LSB of Multiplier is, then add the multiplicand into an accumulator. Shift the multiplier one bit to the right and multiplicand one bit to the left. Stop when all bits of the multiplier are zero. rom above it is clear that the multiplication has been changed to addition of numbers. If the Partial Products are added serially then a serial adder is used with least hardware. It is possible to add all the partial products with one combinational circuit using a parallel multiplier. However it is possible also, to use compression technique then the number of partial products can be reduced before addition.is performed. Page 3 of 39

4 Serial Multiplier Where area and power is of utmost importance and delay can be tolerated the serial multiplier is used. This circuit uses one adder to add the m * n partial products. The circuit is shown in the fig. below for m=n=4. Multiplicand and Multiplier inputs have to be arranged in a special manner synchronized with circuit behavior as shown on the figure. The inputs could be presented at different rates depending on the length of the multiplicand and the multiplier. Two clocks are used, one to clock the data and one for the reset. first order approximation of the delay is O (m,n). With this circuit arrangement the delay is given as D =[ (m)n ] t fa. X: X: x 3 x x 3 x x x x x Y:y Y:y 3 y 3 y y y y y Input Input Sequence Sequence for for G: G: x x 3 x 3 x x x x x x 3 x x 3 x x x x x x x 3 x 3 x x x x x x x 3 x 3 x x x x x y y 3 y 3 3 y y 3 3 y y 3 3 y y 3 y y y y y y y y y y y y y y y y y y y y y y y Reset: Reset: Reset= G CLK d -bit REG q x y G x y x y Serial Register CLK CLK/(N) Slide 3 s shown the individual PP is formed individually. The addition of the PPs are performed as the intermediate values of PPs addition are stored in the D, circulated and added together with the newly formed PP. This approach is not suitable for large values of M or N. or snapshots of data movements please see the course website/slides of lecture 3. Page 4 of 39

5 Serial/Parallel Multiplier The general architecture of the serial/parallel multiplier is shown in the figure below. One operand is fed to the circuit in parallel while the other is serial. N partial products are formed each cycle. On successive cycles, each cycle does the addition of one column of the multiplication table of M*N PPs. The final results are stored in the output register after NM cycles. While the area required is N- for M=N. or snapshots of data transfer through this multiplier please see the course website/slides of lecture y y y y 3 x 3 x x x S S S S S pipelined version of an 8 bit multiplier is shown below. Page 5 of 39

6 Shift and dd Multiplier The general architecture of the shift and add multiplier is shown in the figure below for a 3 bit multiplication. Depending on the value of multiplier LSB bit, a value of the multiplicand is added and accumulated. t each clock cycle the multiplier is shifted one bit to the right and its value is tested. If it is a, then only a shift operation is performed. If the value is a, then the multiplicand is added to the accumulator and is shifted by one bit to the right. fter all the multiplier bits have been tested the product is in the accumulator. The accumulator is N (MN) in size and initially the N, LSBs contains the Multiplier. The delay is N cycles maximum. This circuit has several advantages in asynchronous circuits. To view data movements please see course website/slides of lecture 3. rray Multiplier Page 6 of 39

7 rray Multipliers rray multiplier is well known due to its regular structure. Multiplier circuit is based on add and shift algorithm. Each partial product is generated by the multiplication of the multiplicand with one multiplier bit. The partial product are shifted according to their bit orders and then added. The addition can be performed with normal carry propagate adder. N- adders are required where N is the multiplier length. 3 x B3 B B B C B x 3 B x B x B x B x 3 B x B x B x C sum sum sum sum B x 3 B x B x B x C sum sum sum sum B3 x 3 B3 x B3 x B3 x Inputs Internal Signals C sum sum sum sum Y7 Y6 Y5 Y4 Y3 Y Y Y Outputs Page 7 of 39

8 n example of 4-bit multiplication method is shown below: a 3 a a a = a 3 a a a b B = b 3 b b b a 3 a a a b C out our-bit dder C i n a 3 a a a b C out our-bit dder C in a 3 a a a b 3 C out our-bit dder C in Product (*B) lthough the method is simple as it can be seen from this example, the addition is done serially as well as in parallel. To improve on the delay and area the CRs are replaced with Carry Save dders, in which every carry and sum signal is passed to the adders of the next stage. inal product is obtained in a final adder by any fast adder (usually carry ripple adder). In array multiplication we need to add, as many partial products as there are multiplier bits. This arrangements is shown in the figure below Page 8 of 39

9 3 P 3 P P P P P P **P ij = i B j Total of 6 gates j B i B B C i. S i C i. S i C i. S i i 3 j 3 B B 3 P 3 P P P... P ij C i S i C i S i C i S i P 3 P 3 P 3 P 3... C i S i C i S i C i S i P C i S i C i S i C i S i R 7 R 6 R 5 R 4 R3 R R R Total rea = (N-) * M * rea Delay= (M-) Now as both multiplicand and multiplier may be positive or negative, s complement number system is used to represent them. If the multiplier operand is positive then essentially the same technique can be used but care must be taken for sign bit extension. Page 9 of 39

10 The reason for dealing with signed number incorrectly is the absence of sign bit expansion in this multiplier. a a X b b ab ab ab ab Wrong a a X b b ab ab ab ab ab ab ab Correct There is a way to correct this fault, which do not need to expand all of the bits in the partial product addition. When s complement partial products are added in carry save arithmetic all numbers to be added in one adder stage have to be of equal bit length. Therefore, the sign bits of the partial product(s) in the first row and the sum and carry signals of each adder row are extended up to the most significant sign bit of the number with the largest absolute value to be added in this stage. The sign bit extension results in a higher capacitive load (fan out) of the sign bit signals compared to the load of other signals and accordingly slows down the speed of the circuit. lgorithms exist when adding two partial products (B) which will eliminate the need of sign bit extension (Please see ppendix when both numbers can be positive or negative):. Extend sign bit of by one bit and invert this extended bit.. Invert the sign bit of B. 3. dd and B. dd to one position left of MSB of B Here is an example of 6 bit sign addition: a 5 a 5 a 5 a 4 a a b 5 b 5 b 4 b b a 5 a 5 a 4 a a b 5 b 4 b b In General we can invert all the sign bits and add a to column n as shown in the diagram below: Page of 39

11 DD INVERT LL SIGN BITS * * * * * Ŝ X X X X X * * * * Ŝ X X X X X * * * Ŝ 3 X X X X X * * Ŝ 4 X X X X X * Ŝ 5 X X X X X Ŝ 6 X X X X X It is possible however to simplify this further and use the following template. Extend the sign of the first partial product row by bit and invert this bit. Invert all other sign bits of all partial products as shown below Extend sign bit and invert Invert all other sign bits * * * * Ŝ S XX X X X * * * * Ŝ X X X X X * * * Ŝ 3 X X X X X * * Ŝ 4 X X X X X * Ŝ 5 X X X X X Ŝ 6 X X X X X Page of 39

12 Below are some examples of this method Example - = * 3 = -6 = This is s Complement of 6 By sign extension method - = * 3 = * -6 Now, according to the algorithm, Sign bits This is s Complement of 6 Extended sign bit and inverted Inverted sign bits * This is s Complement of 6 The Diagram below shows the architecture of a 3 bit array adder. (Please note that the design is modified to take care of s complement numbers) Page of 39

13 rray Multiplier for a 3 bit number ( s complement numbers) Booth Multipliers It is a powerful algorithm for signed-number multiplication, which treats both positive and negative numbers uniformly. Page 3 of 39

14 or the standard add-shift operation, each multiplier bit generates one multiple of the multiplicand to be added to the partial product. If the multiplier is very large, then a large number of multiplicands have to be added. In this case the delay of multiplier is determined mainly by the number of additions to be performed. If there is a way to reduce the number of the additions, the performance will get better. Booth algorithm is a method that will reduce the number of multiplicand multiples. or a given range of numbers to be represented, a higher representation radix leads to fewer digits. Since a k-bit binary number can be interpreted as K/-digit radix-4 number, a K/3-digit radix-8 number, and so on, it can deal with more than one bit of the multiplier in each cycle by using high radix multiplication. This is shown for Radix-4 in the example below. Multiplicand = Multiplier B = ( )( ) Partial product bits (B B ) 4 (B 3 B ) 4 Product P = Radix-4 multiplication in dot notation. s shown in the figure above, if multiplication is done in radix 4, in each step, the partial product term (B i B i ) needs to be formed and added to the cumulative partial product. Whereas in radix- multiplication, each row of dots in the partial products matrix represents or a shifted version of must be included and added. Table below is used to convert a binary number to radix-4 number. Initially, a is placed to the right most bit of the multiplier. Then 3 bits of the multiplicand is recoded according to table below or according to the following equation: Z i = -x i x i x i- Example: Multiplier is equal to added Page 4 of 39

15 then a is placed to the right most bit which gives the 3 digits are selected at a time with overlapping left most bit as follows: Table. Radix-4 Booth recoding X i X X i- Z i/ or example, an unsigned number can be converted into a signed-digit number radix 4: ( ) = ( ) 4 The Multiplier bit-pair recoding is shown in Table. Table Multiplier recoding *multiplicand *multiplicand Page 5 of 39

16 *multiplicand *multiplicand -*multiplicand -*multiplicand -*multiplicand -*multiplicand Here *multiplicand is actually the s complement of the multiplicand with an equivalent left shift of one bit position. lso, *multiplicand is the multiplicand shifted left one bit position which is equivalent to multiplying by. To enter *multiplicand into the adder, an (n)-bit adder is required. In this case, the multiplicand is offset one bit to the left to enter into the adder while for the low-order multiplicand position a is added. Each time the partial product is shifted two bit positions to the right and the sign is extended to the left. During each add-shift cycle, different versions of the multiplicand are added to the new partial product depends on the equation derived from the bit-pair recoding table above. Let s see some examples: Example : (3) (9) - (87) Example : Page 6 of 39

17 s complement of multiplicand Example 3: Shifted s complement (-3) (9) - (-87) (-3) (-9) - - (87) Comparison of Booth and shift and add methods Hardware implementation of Booth Once the partial products are generated then the addition process is very similar to the array multiplier. Usually carry save adders are used with the final sum added using a CR. Page 7 of 39

18 Since the Booth Method applies to s complement arithmetic, care must be taken to insure sign extensions are in place as shown in red dots in the following diagram. Several techniques exist that reduces this task with ready made templates. Once the table of the partial products are drawn, all the rows of the partial products have to be arithmetically extended to *N, where N is the length of the multiplicand. This is necessary to obtain correct results but it increases the capacitive load, the area and the computational time. Instead the template above can be used (Copied from book: dvanced Computer rithmetic Design, by M.J. lynn, S. Oberman, Wiley) to reduce the calculation. In the above template, there are 6 bit numbers. nd the 7 th bit is the sign bit. lso, the partial products on each row are entered as complement numbers. If complement numbers are used then the S entries Page 8 of 39

19 on the right side can be removed. Please note that the S bit is the sign bit of the booth encoding of that row) B B S S S S S S S S S S S S S S S S S 3 S 3 S 3 S 3 S 4 P Sign template P Sign extension Example of using the template: Let us multiply 5 * -35. sign bit = 5 B= -35 Now decode the multiplier - - Check these values B= - * 4 3 * 4 - * 4 * 4 = 35 Page 9 of 39

20 * * - * * - This is a ve number. Convert it = 875 Now in order to reduce computation and extra computing units, all the capacitances use the provided template as below Using the Template 5 * -35 Sign bit dd SS dd inverted S dd Inverted sign and add * dd Inverted sign bit * - * No sign bit * - This is a ve number. Convert it = 875 Page of 39

21 s - s s P 7 P 6 P 5 P 4 P 3 P P P P 9 P 8 P 7 P 6 P 5 P 4 P 3 P P P s - P 7 P 6 P 5 P 4 P 3 P P P P 9 P 8 P 7 P 6 P 5 P 4 P 3 P P P s s - P 7 P 6 P 5 P 4 P 3 P P P P 9 P 8 P 7 P 6 P 5 P 4 P 3 P P P s s - 3 P 7 3 P 6 3 P 5 3 P 4 3 P 3 3 P 3 P 3 P 3 P 9 3 P 8 3 P 7 3 P 6 3 P 5 3 P 4 3 P 3 3 P 3 P 3 P 3 s s - 4 P 7 4 P 6 4 P 5 4 P 4 4 P 3 4 P 4 P 4 P 4 P 9 4 P 8 4 P 7 4 P 6 4 P 5 4 P 4 4 P 3 4 P 4 P 4 P 4 s 3 s - 5 P 7 5 P 6 5 P 5 5 P 4 5 P 3 5 P 5 P 5 P 5 P 9 5 P 8 5 P 7 5 P 6 5 P 5 5 P 4 5 P 3 5 P 5 P 5 P 5 s 4 s - 6 P 7 6 P 6 6 P 5 6 P 4 6 P 3 6 P 6 P 6 P 6 P 9 6 P 8 6 P 7 6 P 6 6 P 5 6 P 4 6 P 3 6 P 6 P 6 P 6 s 5 s - 7 P 7 7 P 6 7 P 5 7 P 4 7 P 3 7 P 7 P 7 P 7 P 9 7 P 8 7 P 7 7 P 6 7 P 5 7 P 4 7 P 3 7 P 7 P 7 s 6 P 7 8 P 6 8 P 5 8 P 4 8 P 3 8 P 8 P 8 P 8 P 9 8 P 8 8 P 7 8 P 6 8 P 5 8 P 4 8 P 3 8 P 8 P 8 P 8 6 x 6 multiplier array with Booth encoding and sign-generation general example of 6x 6 bit multiplier using the given template is shown above. s 7 Optimized Wallace Tree Multiplier Several popular and well-known schemes, with the objective of improving the speed of the parallel multiplier, have been developed in past. Wallace introduced a very important iterative realization of parallel multiplier. This advantage becomes more pronounced for multipliers of bigger than 6 bits. In Wallace tree architecture, all the bits of all of the partial products in each column are added together by a set of counters in parallel without propagating any carries. nother set of counters then reduces this new matrix and so on, until a two-row matrix is generated. The most common counter used is the 3: counter which is a ull dder.. The final results are added using usually carry propagate adder. The advantage of Wallace tree is speed because the addition of partial products is now O (logn). block diagram of 4 bit Wallace Tree multiplier is shown in below. s seen from the block diagram partial products are added in Wallace tree block. The result of these additions is the final product bits and sum and carry bits which are added in the final fast adder (CR). Page of 39

22 x 4 y 4 x 3 y 4 x 4 y 3 x y 4 x 3 y 3 x 4 y x y 4 x y 3 x 3 y x 4 y x y 4 x y 3 x y x 3 y x 4 y x y 3 x y x y x 3 y x y x y x y x y x y x y P 9 P 8 P 7 P 6 P 5 P 4 P 3 P P P Since Wallace Tree is a summation method, it can be used in conjunction with array multiplier of any kind including Booth array. The diagram below shows the implementation of 8 bit squarer using the Wallace tree for compressing the addition process. Page of 39

23 n7 n6 n5 n4 n3 n n n n7 n6 n5 n4 n3 n n n n7n n6n n5n n4n n3n nn nn nn n7n n6n n5n n4n n3n nn nn nn n7n n6n n5n n4n n3n nn nn nn n7n3 n6n3 n5n3 n4n3 n3n3 nn3 nn3 nn3 n7n4 n6n4 n5n4 n4n4 n3n4 nn4 nn nn4 n7n5 n6n5 n5n5 n4n5 n3n5 nn5 nn5 nn5 n7n6 n6n6 n5n6 n4n6 n3n6 nn6 nn6 nn6 n7n7 n6n7 n5n7 n4n7 n3n7 nn7 nn7 nn7 C out n7n6 n7n5 n7n4 n7n3 n7n n7n n7n n6n n5n n4n n3n nn nn n n7 n6n5 n6n4 n6n3 n6n n6n n5n n4n n3n nn n n6 n5n4 n5n3 n5n n4n n3n n n5 n4n3 n3 n4 igure 4. Operation of 8 bit square Page 3 of 39

24 n7n4 n6n5 n6 n7n n6n3 n5n4 n7n n6n n5n3 n7n n6n n5n n6n n5n n4n n5n n4n n3n n3n nn n nn n n H C9 C C6 C4 n7n3 n6n4 n5 ms C6 n4n3 ms6 7 C9 5 3 n4n n3n C nn C 8 ms4 3 ms9 ms4 ms ms H C ms3 C C3 8 n3 C4 ms C 6 4 ms ms7 H C7 C7 4 C3 3 ms ms3 H n4 C 6 C5 4 ms5 H C4 9 C8 5 ms8 H C 6 H C5 7 C8 C 5 C3 7 n7n5 C5 9 n7n6 n7 C6 C7 S5 S4 S3 S S S S9 S8 S7 S6 S5 S4 S3 S S S igure 5. Wallace Tree structure of 8 bit square Page 4 of 39

25 3 bit multiplication using Booth and Wallace tree. Page 5 of 39

26 Summary In this section performance measures of multipliers discussed so far are summarized and compared. These results were obtained after synthesizing individual architectures targeting Xilinx PG 45XL-HQ4C. ll comparisons are based on the synthesis reports keeping one common base for comparison. We summarize rea (Total number of CLBs required), Delay and Power Consumption and also calculate Delay Power (DP), rea Power (P), rea Speed (T) and rea Speed (T ) product. rom the Table we can see that delay of Wallace tree multiplier and Combined Booth-Wallace tree multiplier is almost the same and is the least. Hence they are fastest among five multipliers. DP product is also the least for the above two multiplier and are a good choice for this performance measure. Serial Parallel multiplier is a best choice when speed is not important but reduced area and power consumption is of more interest and also for P and T product Serial Parallel multiplier is a good choice. However, one of the most important performance parameter is T. rom the table we see that Modified Booth-Wallace Tree multiplier is the best choice as far as T is concerned. The Serial Parallel multiplier which is a good choice for P and T product has worst performance for T. rray Multi plier Modified Booth Multiplier Wallace Tree Multiplier Modified Booth -Wallace Tree Multiplier rea Total CLB s (#) Maximum Delay D (ns) Twin Pipe Serial-Parallel Multiplier (7.56) * Power(mW) (at highest speed) Power P (mw) when delay = 7.56ns Delay Power Product (DP) (ns mw) (at88 ns) 3.36 (at 4ns) 3.95 (.4ns) 3.86 (at.43ns).89 (at 7.56ns) rea Power Product (P) (# mw) rea Delay Product (D) (# ns) rea Delay Product(D ) (# ns ) x x 5.67 x x 3 * 8 x x x.747 x x 6 * x 6 6 Page 6 of 39

27 _ ppendix Signed Number Multiplication. Introduction Direct two's complement array multiplication can perform "direct" multiplication of two's complement numbers without requiring the complementing stages, significantly speeds up the multiplication process. This appendix will discuss two direct two's complement multiplication algorithms and their implementation. These two direct two's complement multiplication algorithms are: ) Tri-section modified Pezaris two's complement multiplication ) Baugh-Wooley two's complement multiplication These two algorithms are generally used in systems where the operands are less than 6-bit. They are relatively simpler than Booth multiplier whose structure is based on recoding the 's complement operand in order to reduce the number of partial products to be added.. Tri-section modified Pezaris two's complement multiplier: _ In 's complement number representation, the most significant bit (MSB) is weighted negatively. In realizing such a system, Pezaris generalizes the full adders into four types. In type, which represents a normal adder, all three inputs x, y, z are weighted positively and the result lies in the range {,3}. This result is represented by a -bit binary number C S where C and S are also weighted positively. In the other three types there are some signals, indicated by the dots, that are weighted negatively. Listed below are four arithmetic equations that describe the input/output relationships of the four types of generalized full adders. Type : C S = X Y Z Type : C (-S) = X Y (-Z) Type : (-C) S = (-X) (-Y) Z Type 3: (-C) (-S) = (-X) (-Y) (-Z) These four arithmetic equations lead to the truth-table descriptions of the four generalized full adders given in the following table. Table: Truth Table Describing the our Types of Generalized ull dders ull dder Weighted Inputs Weighted Outputs Type X Y Z C S Type 3 - X - Y - Z - C - S Page 7 of 39

28 Truth Table Type X Y - Z C - S Type - X - Y Z - C S Truth Table One can easily derive the Boolean equations governing the four types of full adders from the table entries. Type or Type 3: S = X'Y'Z X'YZ' XY'Z' XYZ C = XY YZ ZX Type or Type : S = X'Y'Z X'YZ' XY'Z' XYZ C = XY YZ' Z'X Pezaris two's complement multiplier use mixture types of full adders. The schematic circuit diagram of a 5-by-5 Pezaris array multiplier is shown below: Page 8 of 39

29 X (a4) a3 a a a (b4) b3 b b b B (a4b) a3b ab ab ab (a4b) a3b ab ab ab (a4b) a3b ab ab ab a4b a3b ab ab ab (a4b3) a3b3 ab3 ab3 ab3 a4b4 (a4b3)(a4b)(a4b)(a4b) a4b a3b ab ab ab p9 p8 p7 p6 p5 p4 p3 p p p P a4b a3b ab ab ab a3b3 ab3 ab3 ab3 a4b3 a4 b4 a3b4 ab4 ab4 ab4 P9 P8 P7 P6 P5 P4 P3 P P P The schematic logic circuit diagram of a 5-by-5 Tri-section modified Pezaris two s complement array multiplier The examples of 5-by-5 Pezaris are shown below: multiplicand positive negative positive negative multiplier negative positive positive negative Page 9 of 39

30 X () () =3 = -5 () () a4b a3b ab ab ab () () () () () () = -65 a4b a4b a3b a3b ab ab ab ab ab ab a4b3 a3b3 ab3 ab3 ab3 a4 b4 a3b4 ab4 ab4 ab4 P9 P8 P7 P6 P5 P4 P3 P P P () X () () () = -5 =3 a4b a3b ab ab ab () () () () () () = -65 a4b a4b a3b a3b ab ab ab ab ab ab a4b3 a3b3 ab3 ab3 ab3 a4 b4 a3b4 ab4 ab4 ab4 P9 P8 P7 P6 P5 P4 P3 P P P Example of Tri-section modified Pezaris Two s Complement Multiplication Page 3 of 39

31 X () () =3 = 5 () () a4b a3b ab ab ab () () () () () () = 65 a4b a4b a3b a3b ab ab ab ab ab ab a4b3 a3b3 ab3 ab3 ab3 a4 b4 a3b4 ab4 ab4 ab4 P9 P8 P7 P6 P5 P4 P3 P P P () X () = -5 = -3 () () a4b a3b ab ab ab () () () () () () = 65 a4b a4b a3b a3b ab ab ab ab ab ab a4b3 a3b3 ab3 ab3 ab3 a4 b4 a3b4 ab4 ab4 ab4 P9 P8 P7 P6 P5 P4 P3 P P P Example of Tri-section modified Pezaris Two s Complement Multiplication 3. Baugh-Wooley two's complement multiplier: Baugh and Wooley have proposed an algorithm for direct two's complement array multiplication. The principal advantage of their algorithm is that the signs of all summands are positive, thus allowing the array to be constructed entirely with the conventional Type full adders. This uniform structure is very attractive for VLSI. The schematic circuit diagram of a 5-by-5 Baugh-Wooley array multiplier is shown below: Page 3 of 39

32 a4b' ab a3b ab ab a4b' a3b ab ab ab a4b' a3b ab ab ab a4b3' a3b3 ab3 ab3 ab3 a4' b4' a4b4 a3'b4 a'b4 a'b4 a'b4 a4 b4 P9 P8 P7 P6 P5 P4 P3 P P P The schematic logic circuit diagram of a 5-by-5 Baugh-Wooley two s complement array multiplier The examples of 5-by-5 Baugh-Wooley are shown below: multiplicand positive negative positive negative multiplier negative positive positive negative X a4 a3 a a a b4 b3 b b b B a4b' a3b ab ab ab a4b' a3b ab ab ab a4b' a3b ab ab ab a4b4 a4b3' a3b3 ab3 ab3 ab3 a4' a3'b4 a'b4 a'b4 a'b4 b4' a4 b4 p9 p8 p7 p6 p5 p4 p3 p p p P X =3 = -5 = -5 X =3 = -65 = -65 =3 = -3 X = 5 X = -5 = 65 = 65 Example of Baugh-Wooley Two s Complement Multiplication Page 3 of 39

33 4. Comparison ull dder Used Table: Direct two's complement multiplication n * n two's Complement rray Multiplier Tri-section Pezaris Baugh-Wooley dvantage Regular format array Irregular format array, two more rows Disadvantage Three type full adder uesd Only one type full adder uesd Type (n - 3n ) / n - n 3 Type (n - 3n ) / Type n - Type 3 Total n - n n - n 3 Total time delay (Multiply time) 4 nδ- Δ 4 nδ * Δ is the unit gate delay. 5. VHDL coding: s an example a 5-bit two's complement multiplication of Tri-section modified Pezaris and Baugh-Wooley are implemented by VHDL code and part of the simulation result are shown below: Page 33 of 39

34 6. PG Implementation: Implement Multipliers in Xilinx Virtex II PGs. Then indicate the critical path, compare the performance, area and power consumption. References: [] Kai Hwang Computer rithmetic: Principles, rchitecture, and Design John Wiley & Sons 979 [] S. D. Pezaris " 4-ns 7-Bit by 7-Bit rray Multiplier", IEEE Trans. on Computers, pp ,.br. 97 [3] C. Baugh y. Wooley " Two's Complement Parallel rray Multiplication lgorithm". IEEE Trans.on Computer, Vol.C-, Nº. Dic.973. ppendix B Examples of signed multiplication (When multiplier operand is positive) Example. - - = X 4 4 = -4 By Sign Extension method, X -4 Page 34 of 39

35 ccording to the extend and invert algorithm, X ns is -4 Ex -5-5 = X 4 4 = - By Sign Extension method, X s complement of - ccording to the algorithm of extend and invert method, Page 35 of 39

36 X in s complement Ex = X 3 3 = - By Sign Extension method, X ccording to the sign extend and invert algorithm, - in s complement X - in s complement Page 36 of 39

37 Ex = X = -44 By Sign Extension method, X -44 ccording to the sign extend and invert algorithm, X - 44 Page 37 of 39

38 Examples of Bth multiplication Example Using Booth algorithm multiply and B. = B=3 = Please note that both numbers are extended to cover or B and the B= sign bit (whichever is larger). * B = = = 4 = - = Now performing the addition we have - B= = (6) Now let us try B * = B= = Page 38 of 39

39 i S C 3 P. Now performing the addition we have = (6) Page 39 of 39

Implementation of Modified Booth Algorithm (Radix 4) and its Comparison with Booth Algorithm (Radix-2)

Implementation of Modified Booth Algorithm (Radix 4) and its Comparison with Booth Algorithm (Radix-2) Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 6 (2013), pp. 683-690 Research India Publications http://www.ripublication.com/aeee.htm Implementation of Modified Booth

More information

Sistemas Digitais I LESI - 2º ano

Sistemas Digitais I LESI - 2º ano Sistemas Digitais I LESI - 2º ano Lesson 6 - Combinational Design Practices Prof. João Miguel Fernandes (miguel@di.uminho.pt) Dept. Informática UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA - PLDs (1) - The

More information

3.Basic Gate Combinations

3.Basic Gate Combinations 3.Basic Gate Combinations 3.1 TTL NAND Gate In logic circuits transistors play the role of switches. For those in the TTL gate the conducting state (on) occurs when the baseemmiter signal is high, and

More information

DEPARTMENT OF INFORMATION TECHNLOGY

DEPARTMENT OF INFORMATION TECHNLOGY DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF INFORMATION TECHNLOGY Lab Manual for Computer Organization Lab ECS-453

More information

Understanding Logic Design

Understanding Logic Design Understanding Logic Design ppendix of your Textbook does not have the needed background information. This document supplements it. When you write add DD R0, R1, R2, you imagine something like this: R1

More information

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers Mehta Shantanu Sheetal #1, Vigneswaran T. #2 # School of Electronics Engineering, VIT University Chennai, Tamil Nadu,

More information

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

RN-Codings: New Insights and Some Applications

RN-Codings: New Insights and Some Applications RN-Codings: New Insights and Some Applications Abstract During any composite computation there is a constant need for rounding intermediate results before they can participate in further processing. Recently

More information

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann Chapter 7 Registers & Register Transfers J. J. Shann J.J. Shann Chapter Overview 7- Registers and Load Enable 7-2 Register Transfers 7-3 Register Transfer Operations 7-4 A Note for VHDL and Verilog Users

More information

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6 E.T.S.E.T.B. MSc in ICT FINAL EXAM VLSI Digital Design Spring Course 2005-2006 June 6, 2006 Score publication date: June 19, 2006 Exam review request deadline: June 22, 2006 Academic consultancy: June

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/20 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1 WEEK 8.1 egisters and Counters ECE124 igital Circuits and Systems Page 1 Additional schematic FF symbols Active low set and reset signals. S Active high set and reset signals. S ECE124 igital Circuits

More information

Combinational Logic Design

Combinational Logic Design Chapter 4 Combinational Logic Design The foundations for the design of digital logic circuits were established in the preceding chapters. The elements of Boolean algebra (two-element switching algebra

More information

RN-coding of Numbers: New Insights and Some Applications

RN-coding of Numbers: New Insights and Some Applications RN-coding of Numbers: New Insights and Some Applications Peter Kornerup Dept. of Mathematics and Computer Science SDU, Odense, Denmark & Jean-Michel Muller LIP/Arénaire (CRNS-ENS Lyon-INRIA-UCBL) Lyon,

More information

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language Chapter 4 Register Transfer and Microoperations Section 4.1 Register Transfer Language Digital systems are composed of modules that are constructed from digital components, such as registers, decoders,

More information

Module 3: Floyd, Digital Fundamental

Module 3: Floyd, Digital Fundamental Module 3: Lecturer : Yongsheng Gao Room : Tech - 3.25 Email : yongsheng.gao@griffith.edu.au Structure : 6 lectures 1 Tutorial Assessment: 1 Laboratory (5%) 1 Test (20%) Textbook : Floyd, Digital Fundamental

More information

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards Points ddressed in this Lecture Lecture 8: ROM Programmable Logic Devices Professor Peter Cheung Department of EEE, Imperial College London Read-only memory Implementing logic with ROM Programmable logic

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

Binary Adders: Half Adders and Full Adders

Binary Adders: Half Adders and Full Adders Binary Adders: Half Adders and Full Adders In this set of slides, we present the two basic types of adders: 1. Half adders, and 2. Full adders. Each type of adder functions to add two binary bits. In order

More information

Let s put together a Manual Processor

Let s put together a Manual Processor Lecture 14 Let s put together a Manual Processor Hardware Lecture 14 Slide 1 The processor Inside every computer there is at least one processor which can take an instruction, some operands and produce

More information

Flip-Flops, Registers, Counters, and a Simple Processor

Flip-Flops, Registers, Counters, and a Simple Processor June 8, 22 5:56 vra235_ch7 Sheet number Page number 349 black chapter 7 Flip-Flops, Registers, Counters, and a Simple Processor 7. Ng f3, h7 h6 349 June 8, 22 5:56 vra235_ch7 Sheet number 2 Page number

More information

Lecture 8: Binary Multiplication & Division

Lecture 8: Binary Multiplication & Division Lecture 8: Binary Multiplication & Division Today s topics: Addition/Subtraction Multiplication Division Reminder: get started early on assignment 3 1 2 s Complement Signed Numbers two = 0 ten 0001 two

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

(Refer Slide Time: 00:01:16 min)

(Refer Slide Time: 00:01:16 min) Digital Computer Organization Prof. P. K. Biswas Department of Electronic & Electrical Communication Engineering Indian Institute of Technology, Kharagpur Lecture No. # 04 CPU Design: Tirning & Control

More information

Counters are sequential circuits which "count" through a specific state sequence.

Counters are sequential circuits which count through a specific state sequence. Counters Counters are sequential circuits which "count" through a specific state sequence. They can count up, count down, or count through other fixed sequences. Two distinct types are in common usage:

More information

Lecture 4: Binary. CS442: Great Insights in Computer Science Michael L. Littman, Spring 2006. I-Before-E, Continued

Lecture 4: Binary. CS442: Great Insights in Computer Science Michael L. Littman, Spring 2006. I-Before-E, Continued Lecture 4: Binary CS442: Great Insights in Computer Science Michael L. Littman, Spring 26 I-Before-E, Continued There are two ideas from last time that I d like to flesh out a bit more. This time, let

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

Floating Point Fused Add-Subtract and Fused Dot-Product Units

Floating Point Fused Add-Subtract and Fused Dot-Product Units Floating Point Fused Add-Subtract and Fused Dot-Product Units S. Kishor [1], S. P. Prakash [2] PG Scholar (VLSI DESIGN), Department of ECE Bannari Amman Institute of Technology, Sathyamangalam, Tamil Nadu,

More information

Implementation and Design of AES S-Box on FPGA

Implementation and Design of AES S-Box on FPGA International Journal of Research in Engineering and Science (IJRES) ISSN (Online): 232-9364, ISSN (Print): 232-9356 Volume 3 Issue ǁ Jan. 25 ǁ PP.9-4 Implementation and Design of AES S-Box on FPGA Chandrasekhar

More information

CSE140: Components and Design Techniques for Digital Systems

CSE140: Components and Design Techniques for Digital Systems CSE4: Components and Design Techniques for Digital Systems Tajana Simunic Rosing What we covered thus far: Number representations Logic gates Boolean algebra Introduction to CMOS HW#2 due, HW#3 assigned

More information

Hardware Implementations of RSA Using Fast Montgomery Multiplications. ECE 645 Prof. Gaj Mike Koontz and Ryon Sumner

Hardware Implementations of RSA Using Fast Montgomery Multiplications. ECE 645 Prof. Gaj Mike Koontz and Ryon Sumner Hardware Implementations of RSA Using Fast Montgomery Multiplications ECE 645 Prof. Gaj Mike Koontz and Ryon Sumner Overview Introduction Functional Specifications Implemented Design and Optimizations

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

Counters & Shift Registers Chapter 8 of R.P Jain

Counters & Shift Registers Chapter 8 of R.P Jain Chapter 3 Counters & Shift Registers Chapter 8 of R.P Jain Counters & Shift Registers Counters, Syllabus Design of Modulo-N ripple counter, Up-Down counter, design of synchronous counters with and without

More information

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Fall 2005 Instructor Texts University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Lab: Section 1: OSS LL14 Tuesday

More information

CHAPTER IX REGISTER BLOCKS COUNTERS, SHIFT, AND ROTATE REGISTERS

CHAPTER IX REGISTER BLOCKS COUNTERS, SHIFT, AND ROTATE REGISTERS CHAPTER IX-1 CHAPTER IX CHAPTER IX COUNTERS, SHIFT, AN ROTATE REGISTERS REA PAGES 249-275 FROM MANO AN KIME CHAPTER IX-2 INTROUCTION -INTROUCTION Like combinational building blocks, we can also develop

More information

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse.

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse. DIGITAL COUNTERS http://www.tutorialspoint.com/computer_logical_organization/digital_counters.htm Copyright tutorialspoint.com Counter is a sequential circuit. A digital circuit which is used for a counting

More information

Implementing the Functional Model of High Accuracy Fixed Width Modified Booth Multiplier

Implementing the Functional Model of High Accuracy Fixed Width Modified Booth Multiplier International Journal of Electronics and Computer Science Engineering 393 Available Online at www.ijecse.org ISSN: 2277-1956 Implementing the Functional Model of High Accuracy Fixed Width Modified Booth

More information

United States Naval Academy Electrical and Computer Engineering Department. EC262 Exam 1

United States Naval Academy Electrical and Computer Engineering Department. EC262 Exam 1 United States Naval Academy Electrical and Computer Engineering Department EC262 Exam 29 September 2. Do a page check now. You should have pages (cover & questions). 2. Read all problems in their entirety.

More information

Binary Numbering Systems

Binary Numbering Systems Binary Numbering Systems April 1997, ver. 1 Application Note 83 Introduction Binary numbering systems are used in virtually all digital systems, including digital signal processing (DSP), networking, and

More information

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

Design and Analysis of Parallel AES Encryption and Decryption Algorithm for Multi Processor Arrays

Design and Analysis of Parallel AES Encryption and Decryption Algorithm for Multi Processor Arrays IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue, Ver. III (Jan - Feb. 205), PP 0- e-issn: 239 4200, p-issn No. : 239 497 www.iosrjournals.org Design and Analysis of Parallel AES

More information

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED DIGITAL TECHNICS II Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED 2nd (Spring) term 22/23 5. LECTURE: REGISTERS. Storage registers 2. Shift

More information

FPGA Implementation of an Extended Binary GCD Algorithm for Systolic Reduction of Rational Numbers

FPGA Implementation of an Extended Binary GCD Algorithm for Systolic Reduction of Rational Numbers FPGA Implementation of an Extended Binary GCD Algorithm for Systolic Reduction of Rational Numbers Bogdan Mătăsaru and Tudor Jebelean RISC-Linz, A 4040 Linz, Austria email: bmatasar@risc.uni-linz.ac.at

More information

A New Algorithm for Carry-Free Addition of Binary Signed-Digit Numbers

A New Algorithm for Carry-Free Addition of Binary Signed-Digit Numbers 2014 IEEE 22nd International Symposium on Field-Programmable Custom Computing Machines A New Algorithm for Carry-Free Addition of Binary Signed-Digit Numbers Klaus Schneider and Adrian Willenbücher Embedded

More information

COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS COMBINATIONAL CIRCUITS http://www.tutorialspoint.com/computer_logical_organization/combinational_circuits.htm Copyright tutorialspoint.com Combinational circuit is a circuit in which we combine the different

More information

Digital Electronics Part I Combinational and Sequential Logic. Dr. I. J. Wassell

Digital Electronics Part I Combinational and Sequential Logic. Dr. I. J. Wassell Digital Electronics Part I Combinational and Sequential Logic Dr. I. J. Wassell Introduction Aims To familiarise students with Combinational logic circuits Sequential logic circuits How digital logic gates

More information

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa Experiment # 9 Clock generator circuits & Counters Eng. Waleed Y. Mousa 1. Objectives: 1. Understanding the principles and construction of Clock generator. 2. To be familiar with clock pulse generation

More information

A single register, called the accumulator, stores the. operand before the operation, and stores the result. Add y # add y from memory to the acc

A single register, called the accumulator, stores the. operand before the operation, and stores the result. Add y # add y from memory to the acc Other architectures Example. Accumulator-based machines A single register, called the accumulator, stores the operand before the operation, and stores the result after the operation. Load x # into acc

More information

ASYNCHRONOUS COUNTERS

ASYNCHRONOUS COUNTERS LB no.. SYNCHONOUS COUNTES. Introduction Counters are sequential logic circuits that counts the pulses applied at their clock input. They usually have 4 bits, delivering at the outputs the corresponding

More information

An Efficient RNS to Binary Converter Using the Moduli Set {2n + 1, 2n, 2n 1}

An Efficient RNS to Binary Converter Using the Moduli Set {2n + 1, 2n, 2n 1} An Efficient RNS to Binary Converter Using the oduli Set {n + 1, n, n 1} Kazeem Alagbe Gbolagade 1,, ember, IEEE and Sorin Dan Cotofana 1, Senior ember IEEE, 1. Computer Engineering Laboratory, Delft University

More information

Digital Design. Assoc. Prof. Dr. Berna Örs Yalçın

Digital Design. Assoc. Prof. Dr. Berna Örs Yalçın Digital Design Assoc. Prof. Dr. Berna Örs Yalçın Istanbul Technical University Faculty of Electrical and Electronics Engineering Office Number: 2318 E-mail: siddika.ors@itu.edu.tr Grading 1st Midterm -

More information

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas Take-Home Exercise Assume you want the counter below to count mod-6 backward. That is, it would count 0-5-4-3-2-1-0, etc. Assume it is reset on startup, and design the wiring to make the counter count

More information

CS 61C: Great Ideas in Computer Architecture Finite State Machines. Machine Interpreta4on

CS 61C: Great Ideas in Computer Architecture Finite State Machines. Machine Interpreta4on CS 61C: Great Ideas in Computer Architecture Finite State Machines Instructors: Krste Asanovic & Vladimir Stojanovic hbp://inst.eecs.berkeley.edu/~cs61c/sp15 1 Levels of RepresentaKon/ InterpretaKon High

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: omputer Organization and Architecture Lecture 8: Registers and ounters Registers A register is a group of flip-flops. Each flip-flop stores one bit of data; n flip-flops are required to store

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

A DA Serial Multiplier Technique based on 32- Tap FIR Filter for Audio Application

A DA Serial Multiplier Technique based on 32- Tap FIR Filter for Audio Application A DA Serial Multiplier Technique ased on 32- Tap FIR Filter for Audio Application K Balraj 1, Ashish Raman 2, Dinesh Chand Gupta 3 Department of ECE Department of ECE Department of ECE Dr. B.R. Amedkar

More information

CSE140 Homework #7 - Solution

CSE140 Homework #7 - Solution CSE140 Spring2013 CSE140 Homework #7 - Solution You must SHOW ALL STEPS for obtaining the solution. Reporting the correct answer, without showing the work performed at each step will result in getting

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. The Binary Adder

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. The Binary Adder FORDHAM UNIVERITY CIC 3593 Fordham College Lincoln Center Computer Organization Dept. of Computer and Info. cience pring, 2011 1 Introduction The Binar Adder The binar adder circuit is an important building

More information

Asynchronous counters, except for the first block, work independently from a system clock.

Asynchronous counters, except for the first block, work independently from a system clock. Counters Some digital circuits are designed for the purpose of counting and this is when counters become useful. Counters are made with flip-flops, they can be asynchronous or synchronous and they can

More information

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process) ECE 3401 Lecture 7 Concurrent Statements & Sequential Statements (Process) Concurrent Statements VHDL provides four different types of concurrent statements namely: Signal Assignment Statement Simple Assignment

More information

EE360: Digital Design I Course Syllabus

EE360: Digital Design I Course Syllabus : Course Syllabus Dr. Mohammad H. Awedh Fall 2008 Course Description This course introduces students to the basic concepts of digital systems, including analysis and design. Both combinational and sequential

More information

IE1204 Digital Design F12: Asynchronous Sequential Circuits (Part 1)

IE1204 Digital Design F12: Asynchronous Sequential Circuits (Part 1) IE1204 Digital Design F12: Asynchronous Sequential Circuits (Part 1) Elena Dubrova KTH / ICT / ES dubrova@kth.se BV pp. 584-640 This lecture IE1204 Digital Design, HT14 2 Asynchronous Sequential Machines

More information

Two-level logic using NAND gates

Two-level logic using NAND gates CSE140: Components and Design Techniques for Digital Systems Two and Multilevel logic implementation Tajana Simunic Rosing 1 Two-level logic using NND gates Replace minterm ND gates with NND gates Place

More information

FPGA. AT6000 FPGAs. Application Note AT6000 FPGAs. 3x3 Convolver with Run-Time Reconfigurable Vector Multiplier in Atmel AT6000 FPGAs.

FPGA. AT6000 FPGAs. Application Note AT6000 FPGAs. 3x3 Convolver with Run-Time Reconfigurable Vector Multiplier in Atmel AT6000 FPGAs. 3x3 Convolver with Run-Time Reconfigurable Vector Multiplier in Atmel AT6000 s Introduction Convolution is one of the basic and most common operations in both analog and digital domain signal processing.

More information

Introduction to Xilinx System Generator Part II. Evan Everett and Michael Wu ELEC 433 - Spring 2013

Introduction to Xilinx System Generator Part II. Evan Everett and Michael Wu ELEC 433 - Spring 2013 Introduction to Xilinx System Generator Part II Evan Everett and Michael Wu ELEC 433 - Spring 2013 Outline Introduction to FPGAs and Xilinx System Generator System Generator basics Fixed point data representation

More information

SAD computation based on online arithmetic for motion. estimation

SAD computation based on online arithmetic for motion. estimation SAD computation based on online arithmetic for motion estimation J. Olivares a, J. Hormigo b, J. Villalba b, I. Benavides a and E. L. Zapata b a Dept. of Electrics and Electronics, University of Córdoba,

More information

System on Chip Design. Michael Nydegger

System on Chip Design. Michael Nydegger Short Questions, 26. February 2015 What is meant by the term n-well process? What does this mean for the n-type MOSFETs in your design? What is the meaning of the threshold voltage (practically)? What

More information

BINARY CODED DECIMAL: B.C.D.

BINARY CODED DECIMAL: B.C.D. BINARY CODED DECIMAL: B.C.D. ANOTHER METHOD TO REPRESENT DECIMAL NUMBERS USEFUL BECAUSE MANY DIGITAL DEVICES PROCESS + DISPLAY NUMBERS IN TENS IN BCD EACH NUMBER IS DEFINED BY A BINARY CODE OF 4 BITS.

More information

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters:

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters: Design Eample: ers er: a sequential circuit that repeats a specified sequence of output upon clock pulses. A,B,C,, Z. G, O, T, E, R, P, S,!.,,,,,,,7. 7,,,,,,,.,,,,,,,,,,,. Binary counter: follows the binary

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

Binary full adder. 2-bit ripple-carry adder. CSE 370 Spring 2006 Introduction to Digital Design Lecture 12: Adders

Binary full adder. 2-bit ripple-carry adder. CSE 370 Spring 2006 Introduction to Digital Design Lecture 12: Adders SE 370 Spring 2006 Introduction to Digital Design Lecture 12: dders Last Lecture Ls and Ls Today dders inary full 1-bit full omputes sum, carry-out arry-in allows cascaded s = xor xor = + + 32 ND2 11 ND2

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Simplifying Logic Circuits with Karnaugh Maps

Simplifying Logic Circuits with Karnaugh Maps Simplifying Logic Circuits with Karnaugh Maps The circuit at the top right is the logic equivalent of the Boolean expression: f = abc + abc + abc Now, as we have seen, this expression can be simplified

More information

Combinational circuits

Combinational circuits Combinational circuits Combinational circuits are stateless The outputs are functions only of the inputs Inputs Combinational circuit Outputs 3 Thursday, September 2, 3 Enabler Circuit (High-level view)

More information

The string of digits 101101 in the binary number system represents the quantity

The string of digits 101101 in the binary number system represents the quantity Data Representation Section 3.1 Data Types Registers contain either data or control information Control information is a bit or group of bits used to specify the sequence of command signals needed for

More information

Lecture 12: More on Registers, Multiplexers, Decoders, Comparators and Wot- Nots

Lecture 12: More on Registers, Multiplexers, Decoders, Comparators and Wot- Nots Lecture 12: More on Registers, Multiplexers, Decoders, Comparators and Wot- Nots Registers As you probably know (if you don t then you should consider changing your course), data processing is usually

More information

Method for Multiplier Verication Employing Boolean Equivalence Checking and Arithmetic Bit Level Description

Method for Multiplier Verication Employing Boolean Equivalence Checking and Arithmetic Bit Level Description Method for Multiplier Verication Employing Boolean ing and Arithmetic Bit Level Description U. Krautz 1, M. Wedler 1, W. Kunz 1 & K. Weber 2, C. Jacobi 2, M. Panz 2 1 University of Kaiserslautern - Germany

More information

5 Combinatorial Components. 5.0 Full adder. Full subtractor

5 Combinatorial Components. 5.0 Full adder. Full subtractor 5 Combatorial Components Use for data transformation, manipulation, terconnection, and for control: arithmetic operations - addition, subtraction, multiplication and division. logic operations - AND, OR,

More information

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute. 2nd (Spring) term 2012/2013

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute. 2nd (Spring) term 2012/2013 DIGITAL TECHNICS II Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 4. LECTURE: COUNTERS AND RELATED 2nd (Spring) term 2012/2013 1 4. LECTURE: COUNTERS AND RELATED 1. Counters,

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

EE 261 Introduction to Logic Circuits. Module #2 Number Systems

EE 261 Introduction to Logic Circuits. Module #2 Number Systems EE 261 Introduction to Logic Circuits Module #2 Number Systems Topics A. Number System Formation B. Base Conversions C. Binary Arithmetic D. Signed Numbers E. Signed Arithmetic F. Binary Codes Textbook

More information

A High-Performance 8-Tap FIR Filter Using Logarithmic Number System

A High-Performance 8-Tap FIR Filter Using Logarithmic Number System A High-Performance 8-Tap FIR Filter Using Logarithmic Number System Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington 99164-2752,

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: Computer Organization and Architecture Lecture 9 - Register Transfer and Microoperations Microoperations Digital systems are modular in nature, with modules containing registers, decoders, arithmetic

More information

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements LFSR BASED COUNTERS BY AVINASH AJANE, B.E A technical report submitted to the Graduate School in partial fulfillment of the requirements for the degree Master of Science in Electrical Engineering New Mexico

More information

A High-Yield Area-Power Efficient DWT Hardware for Implantable Neural Interface Applications

A High-Yield Area-Power Efficient DWT Hardware for Implantable Neural Interface Applications Proceedings of the 3rd International IEEE EMBS Conference on Neural Engineering Kohala Coast, Hawaii, USA, May 2-5, 2007 A High-Yield Area-Power Efficient DWT Hardware for Implantable Neural Interface

More information

Adder.PPT(10/1/2009) 5.1. Lecture 13. Adder Circuits

Adder.PPT(10/1/2009) 5.1. Lecture 13. Adder Circuits Adder.T(//29) 5. Lecture 3 Adder ircuits Objectives Understand how to add both signed and unsigned numbers Appreciate how the delay of an adder circuit depends on the data values that are being added together

More information

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT 216 ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT *P.Nirmalkumar, **J.Raja Paul Perinbam, @S.Ravi and #B.Rajan *Research Scholar,

More information

Fundamentals of Digital Electronics

Fundamentals of Digital Electronics Fundamentals of Digital Electronics by Professor Barry Paton Dalhousie University March 998 Edition Part Number 32948A- Fundamentals of Digital Electronics Copyright Copyright 998 by National Instruments

More information

Gray Code Generator and Decoder by Carsten Kristiansen Napier University. November 2004

Gray Code Generator and Decoder by Carsten Kristiansen Napier University. November 2004 Gray Code Generator and Decoder by Carsten Kristiansen Napier University November 2004 Title page Author: Carsten Kristiansen. Napier No: 04007712. Assignment title: Design of a Gray Code Generator and

More information

Step : Create Dependency Graph for Data Path Step b: 8-way Addition? So, the data operations are: 8 multiplications one 8-way addition Balanced binary

Step : Create Dependency Graph for Data Path Step b: 8-way Addition? So, the data operations are: 8 multiplications one 8-way addition Balanced binary RTL Design RTL Overview Gate-level design is now rare! design automation is necessary to manage the complexity of modern circuits only library designers use gates automated RTL synthesis is now almost

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Chapter 1: Digital Systems and Binary Numbers

Chapter 1: Digital Systems and Binary Numbers Chapter 1: Digital Systems and Binary Numbers Digital age and information age Digital computers general purposes many scientific, industrial and commercial applications Digital systems telephone switching

More information