The Waveguide Electromagnetic Field Solver and its Extension and Application to Lithography Simulation

Size: px
Start display at page:

Download "The Waveguide Electromagnetic Field Solver and its Extension and Application to Lithography Simulation"

Transcription

1 The Waveguide Electromagnetic Field Solver and its Extension and Application to Lithography Simulation Die Wellenleiterlösungsmethode für elektromagnetische Felder und ihre Erweiterung und Anwendung auf die Lithographiesimulation Der Technischen Fakultät der Friedrich-Alexander-Universität Erlangen-Nürnberg zur Erlangung des Grades D O K T O R - I N G E N I E U R presented by Feng Shao Erlangen, 2011

2 Als Dissertation genehmigt von der Technischen Fakultät der Universität Erlangen-Nürnberg Tag der Einreichung: 04. Juli 2011 Tag der Promotion: 29. November 2011 Dekan: Prof. Dr.-Ing. Marion Merklein Berichterstatter: Prof. Dr.-Ing. Heiner Ryssel Prof. Dr. Ulf Peschel

3 Acknowledgments The work of my thesis and the life at Fraunhofer IISB would be much more difficult without the help and encouragement from my supervisors, colleagues, and many friends. First of all, I would like to thank my supervisor Prof. Dr. Heiner Ryssel for his kind guidance during my research and writing of this thesis. I would also like to thank Prof. Dr. Ulf Peschel for his careful co-examination on this thesis and his remarks on the theory of this work. Many thanks are directed to Dr. Andreas Erdmann. His kind supervision and education have presented the lithography simulation world to me. For all the past years, his continuing encouragement and patience have been supporting and enlightening me. I would also like to thank Dr. Jürgen Lorenz for his support and management for my work at Fraunhofer IISB. For a long time I owe special thanks to all my colleagues for their caring about me and their supporting and understanding of my work at Fraunhofer. I have learnt a lot from them and shared my best years working together with them. In particular, I would like to thank Dr. Peter Evanschitzky, Dipl.-Inf. Tim Fühner, Dr. Kristian Motzek, Dipl.-Ing Oliver Rudolph, Dr. Thomas Schnattinger, Dr. Balint Meliorisz, M.Sc. Viviana Agudelo Moreno, Hartmut Hermes, Edith Scheuerle, Felicitas Coenen, Dr. Gheorghe Ardelean, Dr. Eberhard Bär, Dr. Christian Kampen, Dipl.-Phys. David Reibold, and Thomas Stadler. Besides, I am grateful to my friends at Germany, who always care about me and share laughters and tears with me. Without them, my life will be plain and pale. As much as I will keep their names in mind, they are: Mingping Mao, Dong Li, Hongwen Chu, Wei Wei, Liping Wang, Guiyue Jiao, Tian Cheng, Yiming Li, Daoxiong Zhang, Na Liu, Ning Da, and many many friends with countless memories at Erlangen. At last, I want to dedicate this work to my family and my girl friend. My believe never stops because of your encouragement and because you are standing behind me. With you, my path is forward, my heart is brave, and my pace is steady. Thank you. i

4 ii

5 Abstract As semiconductor lithography marches towards the era of sub 45 nm feature size, many novel technologies are proposed to prolong the lifetime of photolithography, such as advanced resolution enhancement techniques (RETs), extreme ultraviolet (EUV) lithography, and double patterning/exposure techniques. The increasingly stringent process conditions, the immaturity of facilities, processes, and materials, and the dramatically rising expenses in cost and time for all the promising novel lithography technologies have put indispensable demands on the physical modeling and simulation of the lithography process. In particular, the interaction of light with sub-wavelength features on the lithographic masks and wafers is found to have more and more pronounced impact on the lithographic performance. This impact cannot be simply ignored as it is in many current simulations. Therefore, rigorous electromagnetic field (EMF) solvers become indispensable for the simulation of novel lithography technologies. However, the choice of the EMF solvers is greatly limited due to the requirement on its speed, accuracy, efficiency, and flexibility. In this thesis, the Fraunhofer IISB developed Waveguide Method is presented as the rigorous EMF solver for the simulation of novel lithography technologies. New modeling approaches, extensions, and optimizations are proposed and developed to enhance the performance of the Waveguide Method, and to enable new applications such as rigorous exposure simulation in double patterning/exposure techniques. An important new model, namely the Waveguide decomposition method (WDM), reduces the computational complexity of the diffraction of a 3D mask to that of several 2D masks. WDM is demonstrated to have superior simulation speedup with sufficient accuracy. It allows rapid simulation of large 3D mask areas (> 10 µm 10 µm at a wavelength of 193 nm, or > 50λ 50λ) and extremely fast computation of standard sized masks (< 1 µm 1 µm at a wavelength of 193 nm, or < 5λ 5λ). The speedup of WDM can be further scaled up by distributed computation with excellent parallelization efficiency. Another important and originative model, namely WaferWaveguide, tailors the Waveguide Method for the rigorous EMF simulation of topographic (non-planar) wafers in many emerging double patterning/exposure techniques. A flexible layer-based descripiii

6 iv tion approach is developed to model diverse wafer topographies. Extensions and optimizations to reduce the computation load and to enable dynamic exposure simulation in case of bleachable resists are developed and featured. A parallelization of the Wafer- Waveguide Method is also presented to speed up the computation. Applications employing the proposed Waveguide Method, WDM, and WaferWaveguide are exemplified. The rigorous EMF effects in advanced phase-shift masks are demonstrated. EUV mask induced aberrations and the resulting imaging artifacts are investigated. The printability of EUV multilayer defects is analyzed with respect to the defect parameters and other process conditions. Several emerging double patterning/exposure techniques are explored. The impact of wafer topography on the final lithographic performance is evaluated. The simulation results can be used to instruct the control of critical parameters in these processes to avoid detrimental wafer topography effects. An example exploiting simulations to predict the best process condition in a double exposure scheme is also given.

7 Zusammenfassung Im Zuge der Entwicklung der Halbleiterfertigung hin zu Strukturgrößen unter 45 nm wurden und werden verschiedene neue Techniken entwickelt, um auch weiterhin mit photolithographischen Anlagen arbeiten zu können. Dazu zählen Techniken zur Verbesserung der optischen Auflösung, die Lithographie mit Licht im Bereich des extrem Ultravioletten (EUV) und Doppelstrukturierungen bzw. -belichtungen. Die zunehmend anspruchsvollen Prozessbedingungen, die noch wenig ausgereiften Anlagen, Prozesse und Materialien sowie die dramatisch steigenden Kosten dieser neuen Lithographie- Techniken machen eine akkurate physikalische Modellierung und Simulation des Lithographieprozesses unumgänglich. Insbesondere die Wechselwirkung zwischen dem Licht und Strukturen der Größe einer Wellenlänge und darunter, wie sie bei lithographischen Masken und vorstrukturierten Halbleiterscheiben auftritt, ist von entscheidender Bedeutung für das Auflösungsvermögen. Für die Zukunft der Lithograpiesimulation sind deshalb effiziente Algorithmen, die anders als viele gegenwärtig eingesetzte Simulationsprogramme diese Wechselwirkungen berücksichtigen und das elektromagnetischen Feld mitsamt aller Randbedingungen rigoros simulieren, unbedingt notwendig. Die Anforderungen an Geschwindigkeit, Genauigkeit, Effizienz und Flexibilität sind im Allgemeinen jedoch sehr hoch, was Wahl eines geeigneten Algorithmus oft schwierig macht. In dieser Arbeit wird die am Fraunhofer IISB entwickelte Waveguide-Methode vorgestellt ein für die Simulation der neuen Lithographietechniken gut geeigneter Algorithmus zur rigorosen Berechnung elektromagnetischer Felder. Neue Ansätze zur Modellierung, Erweiterungen und Optimierungen, die die Leistungsfähigkeit der Waveguide- Methode steigern und die Simulation neuer Anwendungen, wie z.b. die rigorose Simulation von Doppelstrukturierungen oder -beleuchtungen, ermöglichen, werden entwickelt und diskutiert. Ein wichtiges neues Modell, die Wellenleiter-Zerlegungs-Methode führt das Problem der Beugung an einer dreidimensionalen Maske auf das Problem der Beugung an mehreren zweidimensionalen Masken zurück, wodurch die rechnerische Komplexität stark reduziert wird. Es wird gezeigt, dass dadurch bei ausreichender Genauigkeit die Rechenzeiten drastisch reduziert werden können. Dadurch wird die schnelle Simulation großer dreidimensionaler Maskengebiete (> 10 µm 10 µm bei einer v

8 vi Wellenlänge von 193 nm, oder > 50λ 50λ) und die extrem schnelle Simulation von Maskengebieten üblicher Größe (> 1 µm 1 µm bei einer Wellenlänge von 193 nm, oder > 5λ 5λ). Eine weitere Steigerung der Rechengeschwindigkeit kann durch verteiltes Rechnen erreicht werden, da die Wellenleiter-Zerlegungs-Methode sich durch eine hervorragende Effizienz beim Parallelisieren auszeichnet. Ein weiteres wichtiges neu entwickeltes Modell ist WaferWaveguide, welches die Waveguide-Methode für die rigorose Simulation der Lichtverteilung an den topographischen Strukturen vorstrukturierter Halbleiterscheiben erweitert. Dies ist insbesondere notwendig für die Simulation von Techniken zur Doppelstrukturierung bzw. -belichtung. Ein flexibler schichtbasierter Ansatz zur Beschreibung der Topographie der Halbleiterscheiben wird entwickelt. Erweiterungen und Optimierungen zur Reduzierung des Rechenaufwands und zur dynamischen Berechnung der Belichtung von ausbleichenden Photolacken werden entwickelt und vorgestellt. Weiterhin wird gezeigt, wie eine parallelisierte Version von WaferWaveguide die Rechenzeit reduzieren kann. Die Anwendung der Waveguide-Methode und WaferWaveguide wird anhand von Beispielen dargestellt. Der Nutzen der rigorosen elektromagnetischen Simulation von modernen Phasenmasken wird gezeigt. Die von EUV-Masken induzierten Aberrationen und die daraus resultierenden Artefakte in der Abbildung werden untersucht. Die Auswirkungen von Defekten in der Schichtstruktur von EUV-Masken auf das gedruckte Resultat werden analysiert bzgl. der Defektparameter und Prozessbedingungen. Verschiedene Ansätze zur Doppelstrukturierung bzw. -belichtung werden ebenso untersucht wie der Einfluss der Topographie der Halbleiterscheibe auf das Ergebnis des Lithographieprozesses. Die Ergebnisse der Simulationen können dazu verwendet werden, um kritische Prozessparameter zu identifizieren und um negative Auswirkung der Topographie von Wafern zu vermeiden. Anhand des Beispiels von Doppelbelichtungen wird gezeigt, wie die Ergebnisse der Simulationen genutzt werden können, um die besten Prozessbedingungen zu finden.

9 Contents Abstract Zusammenfassung ii v 1 Introduction and motivation Overview of lithography process Basics of optical lithography Illumination system Projection system Photomask Photoresist Basics of EUV lithography Illumination system Projection system Photomask Resolution limit Resolution enhancement techniques Phase shift mask Optical proximity correction Off-axis illumination Lithography simulation Simulation of image formation Simulation of mask diffraction Simulation of photoresist Organization of this thesis Software and simulation environment Theory of the Waveguide Method Algorithm of the Waveguide Method Initial problem vii

10 viii CONTENTS Eigenvalue problem Boundary conditions Final solutions Constrains of the method Li-optimization theory First performance consideration Convergence of the diffraction efficiency Computation time and memory consumption Comparison with the finite-difference time-domain method Rules of thumb Extension of the Waveguide Method for photomask simulation Computational challenges for mask simulations using Waveguide Rectangle-based mask description method Background Algorithm Results Discussion Decomposition technique: extension for larger mask simulation Background Algorithm Results Simulation speedup Simulation accuracy Error compensation Dimension dependent accuracy Discussion Extension of the Waveguide Method for wafer simulation Challenges in the simulation of topographic wafers Internal field extraction Algorithm Simulation results Discussion Interface to image simulation Interface to photoresist simulation Exposure simulation of non-bleachable photoresists Exposure simulation of bleachable photoresists

11 CONTENTS ix 4.5 User-friendly definition of the wafer geometry Distributed computation Motivation and background Parallelization of mask/wafer layers Parallelization of the Waveguide decomposition method Distribution strategy Simulation results Parallelization of the wafer simulation Distribution strategy Simulation results Discussion Application of the Waveguide Method in photomask simulation Rigorous mask diffraction effects Simulation of alternating phase shift masks Simulation of chromeless phase-shift masks EUV masks Simulation of mask induced imaging artifacts Simulation of multilayer defects Introduction Modeling of the multilayer defect Mask and imaging system Simulation results Rigorous mask optimization Discussion Application of the Waveguide Method in wafer simulation Double patterning techniques Introduction Application for the litho-etch-litho-etch process Simulation of lines and spaces with a mesa Simulation of line-ends Application for the litho-cure-litho-etch process Simulation method Simulation results Double exposure techniques Introduction

12 x CONTENTS Reversible contrast enhancement layers Simplified model Rigorous model Simulation results Dense lines Semi-dense lines Discussion Conclusion and outlook Summary of contributions and results Technical contributions Important results Mask simulation Wafer simulation Future research Bibliography 194 List of own publications 205 List of figures 210 List of tables 231 List of acronyms 233 Index 235

13 Chapter 1 Introduction and motivation 1.1 Overview of lithography process The integrated circuit (IC) fabrication involves a series of physical and chemical processes performed on a semiconductor substrate. Photolithography, usually referred as lithography, has become one of the key processes of patterning for the IC manufacturing (see Figure 1.1). The significance of lithography can be appreciated as follows: The fabrication of a complete IC involves typically times of lithography processes. Lithography accounts for almost 30% of the overall manufacturing costs [Mac07]. Historically, lithography tends to be the technical bottleneck for the cost and performance of the evolving IC designs with ever shrinking transistor sizes. As a result, lithographers must develop the lithography process in a way to balance the capacity limit and the manufacturing cost which finally leads to a high volume throughput at an acceptable cost-of-ownership. Figure 1.1: Example of a simple subtractive patterning process. Picture according to [Mac07]. 1

14 2 1 Introduction and motivation Lithography is defined as a physical and chemical process, by which a designed pattern is transferred from a template to a light-sensitive component (namely the photoresist) coated on the substrate. The general sequence of steps in a complete lithography process includes: substrate preparation, photoresist spincoating, post-apply bake (prebake), exposure, post-exposure bake (PEB), development, and hardbake. The description of these steps is summarized in Table 1.1. Table 1.1: Summary of steps in a complete lithography process. Process step Description Substrate preparation Clean and prime the wafer surface for subsequent processes. Photoresist spincoatinsist Spincoat a thin, defect-free, smooth, and uniform photore- film on the wafer Prebake Dehydrate the photoresist. Typical temperature 70 C 100 C, baking time 25 s 60 s Exposure Transfer an image of the photomask into the photoresist Post-exposure bake Typical temperature 120 C, baking time 100 s Development Transform the photoresist image into a three dimensional relief structure Hardbake Stabilize the relief structure. Typical temperature 130 C 200 C, time 3 minutes 30 minutes Basics of optical lithography So far, the most prominent lithography technique is the so called optical projection lithography. Figure 1.2 shows a real-world optical lithography tool (left) and a schematic representation of the tool (right). The general components in a lithography tool include, from top to bottom, the illumination system, the projection system, the photomask, and the photoresist coated on top of a semiconductor wafer. In the following text, the illumination system, the projection system, the photomask, and the photoresist are briefly introduced. The properties of these components related to lithography simulation are discussed Illumination system The illumination system includes several optical elements such as a light source and a condenser system consisting of lenses, mirrors, and apertures. It targets at delivering a uniform and monochromatic beam that illuminates the photomasks. Koehlerillumination is usually adapted together with a monochromatic and incoherent light source. The evolution of the light source can be regarded as a reduction progress of

15 1.1 Overview of lithography process 3 Figure 1.2: Left: a projection scanner (ASML TWINSCAN step-and-scan tool). Right: a schematic diagram of the optical lithography tool. the wavelength which is pushed by the pursuing of smaller resolution limit (see Section 1.1.3). Historically, lithography started with ultraviolet (UV) light from mercury lamps. They produce light with a broad spectrum with several strong peaks in the UV range. Three UV wavelengths can be selected separately using filters, namely the g-line at 436 nm, the h-line at 405 nm, and the i-line at 365 nm. With the employment of excimer lasers, lithography has approached the deep ultraviolet (DUV) range. Illumination wavelengths at 248 nm with KrF (krypton fluoride) laser and 193 nm with ArF (argon fluoride) laser are developed and applied 1. The state-of-the-art immersion lithography tool combines a high NA (numerical aperture) projection system with a 193 nm light source for the production of ICs of 65 nm node and smaller Projection system The projection system is a highly precise imaging system that usually consists of lenses. It targets at forming images of the objects (aerial image), i.e. patterns of the photomask, in the image plane on the wafer. The size of the image is shrunk with respect to the mask by a reduction factor of the projection system. The state-of-the-art projection system has a reduction of 4. It enables mask manufacturing with much larger feature sizes than the desired prints. The numerical aperture (NA) (see Figure 1.3) as given in Eq. (1.1) is an impor- 1 F 2 laser at 157 nm wavelength was also under consideration, but not really used due to the material problems in the lens system and the successful introduction of immersion lithography at a wavelength of 193 nm.

16 4 1 Introduction and motivation tant parameter to characterize a projection system. n immersion is the refractive index of the immersion fluid in case of an immersion scanner (n immersion = 1 in case of a non-immersion projection system). α immersion is the maximum incidence angle of light emerging from the border of the exit pupil. N A indicates the capability of the projection system with respect to the maximum angle of light that is allowed to participate in imaging. It has a direct influence on the resolution limit of the lithography process which is discussed in Section The larger the NA of the projection system is, the smaller features can be printed. A state-of-the-art optical projection system has a N A of 1.35 using water as the immersion fluid. With this large NA and other techniques, 45 nm feature size is already achieved in manufacturing. The projection system can be further extended to 32 nm feature size and even 15 nm (recently reported by Intel [Nik10]) using emerging double patterning techniques. NA projector = n immersion sin(α immersion ) (1.1) α immersion Figure 1.3: Illustration of NA of a projector. See the definition in Eq. (1.1). However, the maximum N A that can be obtained in optical lithography is restricted by the fluid and the material of the last lens of the projector. Alternative fluid materials with higher refractive indices than water suffer from a series of problems, such as bubbles, light absorption, contamination, erosion, and other instability issues. The limitation of high NA projection systems, as a matter of fact, becomes one of the dominant constraints to approach ever-smaller resolutions using optical projection lithography. The focus of the projection system is another parameter of process control that matters in the lithographic performance. The focus plane is normally fixed at the vertical center of the resist layer. A process window (PW) describes the flexibility of the

17 1.1 Overview of lithography process 5 working condition allowed in a lithography process, in terms of dose and focus values. The permitted focus range in a patterning process, or the depth of focus (DOF), is widely used as a critical parameter in the analysis of PWs and dose latitudes for lithographers Photomask The photomask can be considered as a template with designed patterns. The incident light on the mask is diffracted by these patterns. The transmitted light propagates towards the entrance pupil of the projection system. The mask patterns consist of designed opaque and clear areas. Metal absorbers (e.g. chrome) on top of a transparent dielectric substrate define the opaque (dark) areas. The substrate areas which are not covered by the absorbers are clear (bright) areas. For patterns with larger feature sizes (>130 nm), the wafer prints normally resembles the desired circuit design on the mask. As the feature size gets smaller, the mask diffraction introduces certain proximity effects that differentiate the mask layouts from the printed patterns on the wafer. In this situation, the real mask diffraction has to be considered in the design of the mask layout. Due to the reduction of the projection system, the sizes of the features on the masks are always N larger than those on the wafer. N is the reduction factor. To distinguish the two types of feature sizes, conventions of mask scale and wafer scale are used to describe the metrology values on different scales. If not specified otherwise, all values in this thesis are given on wafer scale with a reduction factor of 4. For example, a mask with 100 nm lines and spaces refers to a mask that contains dense lines of 400 nm width. In general, optical masks can be divided into two broad categories: binary/chromeon-glass (CoG) masks and phase shift masks (PSMs). These mask types are introduced in Section Although PSMs show a better and tunable performance, PSMs still suffer from issues like design complexity, high fabrication costs, and poor polarization performance (especially for MoSi-based attenuated PSM [Yos06]). CoG masks dominate the photomask market, especially for masks with large feature sizes. If not specified otherwise, CoG masks are used in all simulations. Simulations and discussions of the performance of advanced PSMs are presented in Section Photoresist In this thesis, the term layer stack on the wafer (or wafer for short) refers to a series of layers coated on top of a wafer including a silicon substrate, a photoresist, and, usually, a bottom antireflective coating (BARC) layer. The photoresist is a light-sensitive polymer which records the information of light exposed on it, and finally forms a corresponding 3D pattern after subsequent processes of PEB, development, and hardbake (see Table

18 6 1 Introduction and motivation 1.1). The photoresist image, usually called the bulk image, refers to the light intensity distribution inside the photoresist after exposure. In common lithography processes, the coated photoresist is considered as a planar and homogeneous layer with time-invariant optical properties (non-bleaching). Therefore the bulk image can be easily predicted. In recent years, advanced lithography techniques like double patterning, double exposure, and even multiple patterning are introduced to achieve 32 nm feature size and below (see Section 1.1.4). The intermediate processes in these techniques such as etching and resist curing (see Section 7.1) can introduce a certain (non-planar) topography in the photoresist or in other parts of the wafer during the processes. As a result, the computation of the bulk image in the subsequent patterning process requires a rigorous modeling of the light scattering inside the photoresist or other parts of the wafer. In Chapter 4, the Waveguide Method is extended for this purpose. Applications of various double patterning/exposure techniques are studied in Chapter 7. BARCs are widely exploited to reduce the disturbance caused by the reflected light from the boundary at the bottom of the resist. The reflected light interferes with the transmitted light inside the resist and forms standing wave patterns. These standing waves can result in a detrimental line edge roughness (LER) after development. Besides, the back reflection of light also has an impact on the resulting CDs (critical dimensions) which are sensitive to the resist thickness on the wafer (the so called swing curve). It means that the nonuniformity of the coated resist can be transferred to a CD nonuniformity on the wafer, due to the back reflection of light. A BARC usually consists of 1-2 homogeneous layers with proper refractive indices and thickness values. The involvement of additional manipulation steps in the double patterning/exposure techniques has a considerable impact on the planarity and homogeneity of the BARC. It is investigated in Section Basics of EUV lithography Another emerging lithography technique, i.e. extreme ultraviolet (EUV) lithography, targets at the fabrication of sub-32 nm ICs. It operates at the wavelength region of nm where all the available materials are highly absorbing. As a result, reflective mirrors have to be used in EUV lithography rather than refractive lenses which are typically used in optical lithography. These reflective mirrors are realized by a stack of more than 40 double layers consisting of alternating layers of molybdenum and silicon (Mo/Si). Each of these double layers has a thickness of less than 10 nm. So far, many technical bottlenecks in the development of EUV sources, masks, resists, etc. still hold EUV lithography in the laboratory stage. The challenges in the design/fabrication of the illumination system, the projection system, and the photomask for EUV lithography

19 1.1 Overview of lithography process 7 are briefly discussed in the following Illumination system The development of the EUV light source is yet a key milestone to finally bring EUV lithography to manufacturing. So far, the developed EUV sources are based on laserproduced plasma (LPP) or discharge-produced plasma (DPP). The plasma emits most of the energy as heat and at a broad band of wavelengths. This results in several detrimental issues, such as low efficiency, contamination, and short lifetime of the source. The mirrors to convey the EUV light have a high absorption rate. Only less than 5% of the energy from the source can be finally delivered to the photomasks. This puts a even higher demand on the power of the EUV light source. The throughput is determined by the exposure time, and, therefore, directly influenced by the power of the EUV light transmitted to the wafers. A higher efficiency of the light energy exploited in EUV lithography becomes critical in order to yield profitable throughput. The light absorbed by the mirrors in the illumination system as well as in the projection system also introduces heating caused side effects. For example, the heating induced deformation and lifetime reduction of the mirrors aggravate the beam quality and eventually the lithographic performance Projection system Unlike the projection system in optical lithography, the EUV projection optics only consist of mirrors. The asphericly curved surfaces of the reflective elements need to be produced with a contouring accuracy of only a few atoms. The surface roughness is limited to a few atoms in order to image the mask structures without blur. Figure 1.4 shows a schematic view of the first mirror based EUV lithography projector comprising six mirrors from Carl Zeiss. Since the residual gas also strongly absorbs the EUV radiation, the whole projector has to work in vacuum. The state-of-the-art EUV projection system has a NA of Photomask EUV masks work as reflective elements scattering the incident light. A typical EUV mask includes the following parts (see Figure 1.5): the absorber, the capping layer, the multilayer, and the substrate. The multilayer usually consists of 40 Mo/Si bi-layers 2. It acts to reflect the incident light by means of interlayer interference. A maximum reflectivity of 70% is achieved with the developed multilayer stacks so far. The capping 2 Other materials like Mo 2 C/Si, Mo/Be, MoRu/Be are also developed.

20 8 1 Introduction and motivation Figure 1.4: [Zei05]. Schematic view of a mirror based EUV lithography projector from Carl Zeiss layer is applied to protect the multilayer. Materials such as silicon (Si) or ruthenium (Ru) are typically used. Figure 1.5: Illustration of EUV mask geometry on a side view. Compared to optical masks, EUV masks have their own challenges in the fabrication, inspection, and repair. In particular, mask defects have become one of the most critical deficiencies in the EUV mask fabrication. Absorber defects are difficult to detect due to the smaller sizes compared to those on optical masks. Multilayer defects, which can hardly be eliminated in the fabrication process, can cause a pronounced and detrimental impact on the lithographic performance. A detailed modeling of EUV multilayer defects and an investigation of the impact on the process is described in Section

21 1.1 Overview of lithography process Resolution limit The critical dimension (CD) defines the smallest resolvable feature that can be printed on the wafer. Sometimes the CD is given based on the aerial image without a resist simulation. An intensity threshold is usually applied to the cross section of the aerial image to extract the CD (see Figure 1.6). A similar term of half-pitch (hp) is also widely used by lithography engineers to describe the minimum feature size which is normally the linewidth of the dense lines and spaces (denoted as L/S), or half of the pitch of dense patterns. Another term technology node, defined as the smallest hp on an IC, is used as a single and simple indicator of the overall industry progress in IC feature scaling. The minimum CD/hp that can be achieved using a projection tool is given by the most famous resolution equation in lithography 3 : CD = k 1 λ NA (1.2) where λ is the wavelength of the illumination and NA is the numerical aperture of the projection system. k 1 is known as the technology factor which is determined by the mask features, the illumination, and other process conditions. This equation suggests that a reduction of CD requires a decrease of the k 1 factor, the wavelength, or an increase of the NA, or any combination of the three conditions. However, the NA is limited by the physically maximum value of 1 for dry projection lithography, and by the refractive index of the immersion fluid (see Section ) for immersion lithography. The wavelength λ also cannot be reduced arbitrarily. This is due to its strong dependences on the maturity of the light source, the optical elements in the illumination and projection system, and the availability of the materials for the mask, lenses and resist. The introduction of EUV lithography at a wavelength of 13.5 nm can reduce the feature size to be 22 nm 4 and below. Nevertheless, many technical difficulties and bottlenecks in the light source, materials, and processes still hold EUV in the experimental phase. As a result, the k 1 factor gradually plays a decisive and contributing role to push the CD to smaller values. on the imaging of two neighboring point sources. In microscopy, the 1 st Rayleigh criterion is given based This corresponds to an equivalent k 1 of 0.61 in the lithography world with respect to the aerial image. In lithography, with strong resolution enhancement techniques (RETs) like PSMs, off-axis illumination (OAI), and optical proximity correction (OPC), the k 1 factor can be pushed to This equation goes back to the first equation describing the diffraction limit of microscopy proposed by Ernst Abbe in the early 1880 s [Abb73]. 4 Recent progress [Nik10] in 193 nm immersion lithography has targeted at patterning of 22 nm logic in 2011 and even 15 nm node in 2013

22 10 1 Introduction and motivation 1 Intensity (a.u.) % CD 1 CD 1 CD 2 +10% CD x (nm) Figure 1.6: Illustration of CD extraction based on the aerial image. The intensity threshold is plotted in dashed green line. Two CDs, i.e. CD1 and CD2, for the two peaks are shown. ±10% tolerance of CD1 is also displayed. [Won01] in case of the interference of two counter-propagating coherent beams (named as two beam interference). It is important to point out that k 1 at 0.25 is the theoretical limit of a standard lithography process for dense patterns. For isolated features, smaller resolutions can be obtained simply by tuning the threshold or exposure dose. For most ICs like logic and memory, the dense patterns are, therefore, the bottleneck in printing. As a result, Eq. (1.2) still applies to IC manufacturing. In reality, lithography below a k 1 of 0.5 becomes extremely difficult. It is generally accepted that a k 1 of 0.3 is the practical limit for single patterning. Recent progress in double patterning/exposure techniques has successfully pushed the k 1 factor to even smaller values. With additional intermediate steps, density doubling and even quadrupling of the features can be realized. This results in a reduction of k 1 by a factor of 2 and 4. Therefore, the theoretical limit for the patterning of dense features can be reduced to and , respectively. The progress of the attained CDs in lithography with respect to the three parameters is summarized in Figure Resolution enhancement techniques As discussed in the last section, RETs are widely employed in the current lithography technologies to reduce the k 1 factor and, thus, the printed CDs. In principle, RETs can

23 Relative value 1.1 Overview of lithography process 11 Figure 1.7: Progress of the attained CDs in lithography described by Eq. (1.2). The relative value in log scale is shown on y axis. Picture from [Won03]. be categorized as: 1. Mask related RETs: optical proximity correction (OPC), phase shift mask (PSM). 2. Scanner related RETs: off-axis illumination (OAI), pupil filters. 3. Resist related RETs: thin film imaging, double patterning/exposure techniques. 4. Others: concurrent source mask optimization (SMO [Ros01]). A brief introduction to these concepts is given in the following Phase shift mask The idea of a PSM is to modify both the transmission and the phase of the mask in order to produce a better aerial image and resist profile. By different means to achieve a modulation, different types of PSMs are proposed, namely, attenuated phase shift masks (AttPSMs), alternating phase shift masks (AltPSMs), and chromeless phase shift lithography (CPL) masks. Figure 1.8 displays the structures of a CoG mask and the three types of PSMs. Although PSMs provide prominent improvement in many aspects of the resulting performance, the application is still restricted due to the increased design complexity, inspection and repair difficulties, and, consequently, the increased fabrication costs. Nowadays, only AttPSMs are widely used in wafer fabs for the manufacturing of sub 90 nm features. AltPSMs are only used in a few cases. No CPL masks are applied in manufacturing yet. Studies of different advanced PSMs are presented in Section 6.1.

24 12 1 Introduction and motivation Figure 1.8: Schematic view of the mask structures of a CoG (binary) mask, an AttPSM, an AltPSM, and a CPL mask Optical proximity correction Mask OPC is another approach to reproduce the aerial image and the resist profile as similar to the desired pattern as possible. It alters the (local) transmission of the mask. The mask layout, rather than the mask absorber thickness and the etch thickness of the mask substrate in PSM, is modified in an OPC process. In principle, there are two approaches to determine the OPC modifications from the mask pattern, namely, rule based OPC and model based OPC. A rule based OPC is driven by precomputed look-up tables based on the width and spacing between the features. A model based OPC tries to find the best solution by fitting the simulated results with compact models. These compact models are pre-calibrated to the experimental data from the wafer fab (usually a few samples with representative patterns). Figure 1.9 shows a typical rule based OPC example of a line elbow. Different types of OPC features, e.g. hammerheads, serifs, scattering bars, and mousebites, are added in the mask design to produce an improved image compared to that of the original mask. Today, OPC has been extensively used in lithography from 130 nm technology node down to the most advanced design of 32 nm chips. OPC can be also exploited in the image correction for other applications. For example, OPC is recently introduced to the application of proximity printing [Mot10]. It improves the printed image quality of mask aligners at the corners and other critical positions. Proximity correction in electron beam (E-beam) lithography is even more indispensable due to the much stronger scattering of electrons by the resist and the substrate, respectively. E-beam lithography without a proximity correction would never work. In the near future, the successful introduction of double patterning/exposure techniques and EUV lithography will put OPC in a more challenging position. Emerging effects at more stringent process conditions of lower k 1 must be considered in the successive OPC strategies. The technical work presented in

25 1.1 Overview of lithography process 13 Figure 1.9: An example of rule based mask OPC. The filled dark area on the right side is the resist image. The solid line is the desired pattern. Picture from [Rot05]. this thesis highlights on the fast and efficient diffraction simulation for masks and wafers. It provides a potential approach to consider the rigorous diffraction effects in look-up table computations and model calibrations for the future OPC Off-axis illumination OAI is nowadays employed in almost all lithography processes to improve the resolution of dense patterns. This section does not focus on the strategy of OAI, but on the fundamental concepts required to understand the lithographic studies. Figure 1.10 schematically shows the different shapes of the illuminators that are currently used in lithography, i.e. dipole, CQuad (quadrupole), annular, circular, and multi-poles. Offaxis illumination refers to the illumination from the light source that is away from the center of the illuminator pupil, or the optical axis of the illuminator. Recent progress in both optical elements and computational lithography leads to a new methodology to reduce the k 1 factor by optimizing the mask layout and the source shape concurrently (SMO). In SMO, the illuminator shape can be almost arbitrary and greatly differs from conventional illuminator shapes as shown in this figure. Several important parameters are used to describe the shape of the poles in the illuminator. Figure 1.11 exemplifies a CQuad illuminator. σ in, σ out, and the opening angle ϕ are required to describe the shape of a single pole. σ is known as the partial

26 14 1 Introduction and motivation Figure 1.10: Off-axis illumination schemes. Each circle is the pupil shape of an illuminator in the spatial frequency domain. The center of the circle is the optical axis. The blue areas in the circle refer to the light source of illumination. The illumination direction is determined by the radius of the light source with respect to the optical axis. The polarization of the light source is indicated by the arrows. The illuminator shapes are from [Jas06]. Figure 1.11: Example of a CQuad illuminator. σ in, σ out, and opening angle ϕ are required to describe the shape of a single pole. coherence factor defined by: σ = NA condenser NA projector (1.3) where NA projector denotes the NA of the projector. NA condenser corresponds to the numeric aperture at the condenser with respect to the position of the source (see the illustration of NA in Figure 1.3).

27 1.2 Lithography simulation Lithography simulation The very first optical lithography modeling began in the early 1970s, when Dill and his team at IBM published their pioneering studies on the mathematical equations describing basic lithography processes [Dil75a, Dil75b, Dil75c]. Since then, a significant progress of lithography simulation has been made [Mac05] to help to break the previously predicted limits of optical lithography and, therefore, to enable the profitable continuation of Moore s Law. Nowadays, lithography simulation is already accepted as an essential tool in semiconductor manufacturing to allow low k 1 printing, to optimize designs, materials, and processes, and to understand the complex physical and chemical phenomena in lithography. Moreover, lithography simulation has gradually played an instructive role in the innovative exploration of new methodologies, materials, and processes for novel lithography technologies Simulation of image formation Image formation with a given projection system and illuminator is one of the fundamental and indispensable computations in almost every lithography simulation. Fast and accurate computation of the aerial images at specific defocus positions, together with the consideration of other effects like flare, aberration, and the manipulation of the pupil function, are anticipated for a suitable imaging simulator. Recent progress in SMO puts a more stringent requirement in the performance of the imaging simulation for arbitrary source shapes. In principle, two major approaches are applied to model the image formation, namely Abbe s method [Abb73] and Hopkins method [Hop85]. In Abbe s approach, the light source is considered as a composition of individual source points. The image intensities produced by these source points are computed independently and superposed incoherently. The final image is calculated as a summation of the weighted intensities according to the source map. Different from the source integration in Abbe s model, Hopkins model employs a mutual intensity distribution function to characterize the influence of an individual source point on the object. The final image is computed as the integration of the mutual function over the source and over the object in sequence. Certain assumptions on the light source can lead to a simplified solution as a convolution of the point spread function and the transmitted light of the object with minor specifications depending on the coherence of the light source [Goo85, Ada01]. The reformulation of the Hopkins equations using the transmission cross-coefficient (TCC) approach allows to separate the illumination and projection related integration kernels from the object related transmission

28 16 1 Introduction and motivation kernel. Therefore, the TCC components can be precomputed and stored in look-up tables. This enables extremely fast imaging computations when an arbitrary photomask is applied. Nowadays, Hopkins model with TCC is widely used in lithography simulation, particularly in the OPC processes. For all the image simulations in this thesis, the imaging simulator Dr.Image [Eva09] is used. Dr.Image employs an extended Abbe algorithm that integrates the individual image intensities over sampled source points which are selected following certain rules to represent the light source. A detailed description of the algorithm and the performance is given in the paper [Eva09] Simulation of mask diffraction In the early phase of lithography simulation, the amplitude and phase of the transmitted light through a mask were determined using the Kirchhoff model, or the so called thin mask model. In this model, the mask is assumed to be infinitely thin. The transmittance directly below the (binary) mask is either 1 in bright areas or 0 in dark areas, with identical phase values of 0. For PSMs, the transmittance can be regarded as a complex value with an amplitude and a phase, e.g. an amplitude of 0.06 and a phase of π in case of an AttPSM. Great success has been made using this Kirchhoff model to compute the mask transmission in lithography simulations for the 130 nm technology node and above. However as the feature size was getting smaller, several new lithographic effects have been identified [Won94]. These effects cannot be explained using the simplified Kirchhoff model. This pulled lithographers back to look at the mask diffraction modeling again. The concept of rigorous electromagnetic field (EMF) simulation was then proposed that differentiates from the non-rigorous Kirchhoff model. The first EMF simulations of lithographic masks were employed to successfully explain the intensity imbalancing effects between the etched and unetched openings in AltPSM [Won94]. Since then, more and more attention was put on the effects caused by the mask topography. Rigorous modeling of the light diffraction helps to understand them. The terms of rigorous EMF effects, rigorous mask diffraction effects, and mask topography effects arouse to describe such effects. Figure 1.12 gives an example to demonstrate the difference of mask diffraction computed using the Kirchhoff model and a rigorous EMF solver. An AltPSM with 100 nm dense L/S is simulated under the illumination of vertical incident light. The Kirchhoff model predicts a binary amplitude and a phase jump of π between the interlaced spaces. In contrast, the rigorous simulation shows a complex and depth dependent transmittance of light. Both the amplitude and the phase are different from those predicted by the Kirchhoff model.

29 1.2 Lithography simulation 17 (a) Amplitude of transmitted nearfield (b) Phase of transmitted nearfield Figure 1.12: Top: mask geometry of an AltPSM with 100 nm dense L/S. Middle: ideal transmitted nearfield in amplitude (left) and phase (right) using Kirchhoff model. Bottom: simulated distribution of the light intensity (a) and phase (b) inside the mask and in the air. Illumination: vertical incidence, TE polarized. With the extensive use of rigorous EMF simulations, a rich number of other rigorous mask diffraction effects are identified and evaluated in both optical and EUV lithography, e.g. polarization induced effects, rigorous phase effects, effects caused by the mask defects, and OPC related effects. A detailed introduction and discussion of these rigorous mask diffraction effects is given in Section 6.1. Rigorous simulations of EUV masks at different conditions are also included. Rigorous EMF methods are usually classified into space-domain and spatial-frequencydomain methods. The space-domain approaches usually solve the Maxwell equations on a spatially discretized mask geometry. The most well known and popular EMF solver is the finite-difference time-domain (FDTD) method first proposed by Yee [Yee66]. Other methods including finite-element method (FEM) [Bur08] and integral equation method [Rah10] are also used in lithography simulation. The spatial-frequency-domain approaches such as Fourier modal method (FMM), rigorous coupled waves analysis

30 18 1 Introduction and motivation (RCWA), and, the Waveguide Method that is closely described in this thesis, are developed to solve the Maxwell equations in the spatial frequency domain. At the cost of a higher accuracy, the computation speed of a rigorous EMF solver is inevitably much slower compared to the Kirchhoff approach. The early explorations employing rigorous EMF simulations targeted at revealing and explaining the rigorous EMF effects occurring in lithography processes. In this circumstance, the popular FDTD method was widely used as the EMF solver for diverse lithography applications. At a less stringent process condition of relatively high k 1, the computation load of the rigorous mask simulations, typically 2D L/S and 3D features of small areas, was not noticeably expensive. The computation time of FDTD was still tolerable. Recent progress of low k 1 techniques pushes lithography to work in a much more stringent condition where the rigorous EMF effects have to be considered at many aspects of the simulations. As a result, the computation speed of the EMF solvers becomes a bottleneck that limits their application, especially in the field where intensive EMF computations are demanded (e.g. mask OPC). The following chapters center around the essential needs of a fast and accurate EMF solver (i.e. the Waveguide Method) that satisfies the requirements of novel lithography technologies Simulation of photoresist A complete resist simulation includes the modeling of the exposure, PEB, and development processes. The exposure of the photoresist is one essential step and the main focus of the simulation of double patterning/exposure techniques in this thesis. The modeling of the exposure describes the intensity distribution resulting from the illumination propagated from the exit pupil of the projection system. So far, the widely used modeling approach is based on the transfer matrix method (TMM) [Bor64]. This approach is physically accurate under the assumption that all considered layers are optically homogeneous. The optical properties of modern chemically amplified resists (CAR) for lithography at wavelengths below 250 nm do not change significantly during the exposure. Therefore, the resist is usually regarded as non-bleaching and the homogeneity is satisfied. However, with the successful introduction of double patterning/exposure techniques, the homogeneity of the layer stack on the wafer is no longer preserved after additional manipulation of the stack. A topography is formed inside the wafer (typically in the BARC and the resist). Similar to the rigorous EMF effects in the mask, the accurate light diffraction inside the wafer can induce certain wafer topography effects [Erd05b]. These effects cannot be predicted using the conventional TMM model. On the other hand, the increasing interest in a double patterning/exposure solution for ultra low k 1 lithography prompts many emerging explorations of new processes and

31 1.3 Organization of this thesis 19 methodologies. A fast, efficient and adaptive EMF solver for the exposure modeling of topographic wafers becomes indispensable to enable simulation studies of novel lithography technologies. In Chapter 4, the extensions and optimizations of the Waveguide Method for the wafer side (WaferWaveguide) are presented. The superior performance and high flexibility are demonstrated in Chapter 7. After the exposure, simplified models and full models are used in the simulation of the other steps. The simplified models ignore the detailed physics and chemistry in the individual resist process steps by employing certain simplifications [Sch08]. The predictivity of the simplified models is commonly achieved by fitting the mathematical equations to the experimental data. Therefore, fast and effective simulations for OPC are enabled by the simplified models. The full resist models, based on sequential simulations of the resist process steps, give a more comprehensive modeling and, thus, yield a better predictivity compared to the simplified models. For all resist simulations, the in-house developed macroscopic photoresist model with a fast marching algorithm is used. Details of this resist model are described in the thesis of Schnattinger [Sch08]. 1.3 Organization of this thesis Chapter 2 presents the fundamental algorithm of the Waveguide Method. The developed formulation of the method shows a reduction of the computational complexity by a power of 2 compared to the original published method. The employment of a convergence optimization, namely the Li-optimization, is also described. The performance of the implemented solver is demonstrated after that. The convergence of the Waveguide Method, the time and memory consumption, and a comparison with FDTD are provided. An important criterion to attain converging results is given as a rule of thumb for the Waveguide Method. The computational challenges of the Waveguide Method for mask simulations are discussed in Chapter 3. A rectangle-based mask description method is proposed for the mask meshing. This method is demonstrated to have superior performance compared to the FDTD like discretization strategy. A decomposition technique (Waveguide decomposition method, WDM) operating in the spatial frequency domain is developed to significantly speed up the Waveguide computation. As the cost of a speedup, the accuracy of WDM is sacrificed. The detailed discussion of the accuracy is presented in Section Comparisons with the fully rigorous Waveguide Method 5, and with the Kirchhoff approach are demonstrated in terms of accuracy and speed. A fitting based compensation approach is proposed to further increase the accuracy of WDM. 5 This term is used to distinguish from the WDM which is not regarded as fully rigorous. See Section

32 20 1 Introduction and motivation Extensions and optimizations of the Waveguide Method for the simulation of topographic wafers are described in Chapter 4. The challenges of EMF simulations using the Waveguide Method at the wafer side are analyzed first. Solutions to these challenges are provided in the later content of this chapter. A straightforward extension of the Waveguide algorithm to efficiently calculate the internal field distribution is derived. After that, the methodology to compute the exposure of the wafer is described. An optimization to reduce the computational complexity is proposed. The rigorous simulation of the bleachable wafer (resist) is outlined and exemplified. Finally, a user-friendly description of the wafer geometry is developed to adapt the EMF solver for diverse double patterning/exposure techniques. Chapter 5 extends the Waveguide Method to enable distributed computations. A parallelization of the mask layers is discussed at first. A maximum speedup of 1.6 is demonstrated in the presented examples. The WDM simulation can be more efficiently distributed. A master-slave mode of parallelism can be easily employed in WDM. Excellent speedup is demonstrated in the simulation examples. The results are compared to the fully rigorous Waveguide Method and to the Kirchhoff approach. Distributed computation is also applied in the Waveguide computation for topographic wafers. A similar master-slave parallelism is implemented. A prominent speedup is achieved in the exemplified studies. At last, an outlook on more optimized parallelization architectures, on the possibility of parallelization at the level of numerical operations, and on a promising approach of hardware parallelization are given. A rich number of mask simulations using the Waveguide Method are given in Chapter 6. At first, the rigorous EMF effects induced by optical and EUV masks are introduced. Simulation of an AltPSM is presented to provide a first impression on the difference between the rigorous Waveguide Method and the conventional Kirchhoff approach. The light diffraction by various types of photomasks is studied. The impact of the mask topography is explained by the analysis of the amplitude and the phase of the diffracted orders. The application of the Waveguide Method in EUV mask simulation is also studied in this chapter. Two important topics, i.e. the EUV mask induced imaging artifacts and the printability of multilayer (ML) defects, are investigated. A methodology to characterize the impact of the mask diffraction by analyzing the fitted Zernike coefficients is proposed. Several EMF solvers are applied and compared for the studies of ML defects. Critical ML defect configurations are identified with simulations. The capability of the Waveguide Method and the parallelized WDM for the future exploration of EUV lithography is manifested. At last, examples of rigorous mask optimization using the Waveguide Method are included. The potential of the Waveguide Method for the exposure simulation in double pat-

33 1.4 Software and simulation environment 21 terning/exposure techniques is demonstrated in Chapter 7. Double patterning techniques such as litho-etch-litho-etch (LELE) and litho-cure-litho-etch (LCLE) processes are studied. The wafer topography produced by the first etching process in LELE and the resist curing process in LCLE is modeled. The impact of the wafer topography on the resulting lithographic performance is simulated. A double exposure technique exploiting a reversible contrast enhancement layer (RCEL) is also explored. The capability of RCEL in and sub-0.25 k 1 lithography is demonstrated with respect to its major parameters and the process conditions. Conclusive criteria to determine the applicability of RCEL are given and explained. Finally, a summary of the important technical contributions and simulation results of this thesis, and an outlook on the future of the Waveguide EMF solver for the simulations of novel lithography technologies are presented in Chapter Software and simulation environment If not specified otherwise, all simulations are performed on the Fraunhofer IISB high performance cluster (HPC) with two dual 3 GHz CPUs and 4 GB memory on each node. The described Waveguide Method and its extensions and optimizations are implemented using C and C++, and integrated into the Fraunhofer IISB development and research lithography simulator Dr.LiTHO [Fue07]. Dr.LiTHO is also used as the simulation environment for the complete lithography simulation flow, e.g. imaging simulation, resist simulation, and single/multi-objective optimization.

34 22 1 Introduction and motivation

35 Chapter 2 Theory of the Waveguide Method The Waveguide Method is identified as an fast and efficient rigorous EMF (electromagnetic field) solver to describe the light diffraction in advanced lithography processes. It targets at fulfilling the stringent requirement of rigorous diffraction computation for advanced lithography at 45 nm and below, and for the novel lithography technologies, such as EUV lithography and double patterning/exposure techniques. This chapter covers the basic algorithm of the Waveguide Method and presents the state-of-the-art Waveguide implementation developed at Fraunhofer IISB. An important convergence optimization method based on the Li-theory [Luc96] is described which significantly speeds up the Waveguide computation. The constraints of the method and the first performance analysis are also presented. 2.1 Algorithm of the Waveguide Method The idea of the Waveguide Method is based on the theory of Fourier modal method (FMM) which is similar to the rigorous coupled wave analysis (RCWA) method [Moh95] [Lal96] [Gra96]. The concepts of these methods are the same: The simulated structure is firstly sliced into several layers with homogeneous optical properties along the vertical direction (z axis as in Figure 2.1). The Fourier expansion of the electromagnetic fields is applied to reformulate the Maxwell equations so that the light diffraction is solved in the frequency domain. The allowed propagating and evanescent modes inside each simulated layer are described by the eigenvalues resulting from the systematic eigen matrix of the layer itself. At last, the acquired modes are coupled between neighboring layers and confined by the boundary conditions to obtain the final solution. The first prototype of the Waveguide algorithm was introduced by Nyyssonen [Nyy82] in Lucas et al. [Luc96] later extended this concept to a basic three-dimensional model. In principle, the algorithm of the Waveguide Method has many features similar to RCWA. The major difference comes from the explicit formulations of the scattering 23

36 24 2 Theory of the Waveguide Method Figure 2.1: Schematic view of slicing mask geometries into layers for the Waveguide computation. Left: a typical mask of Manhattan type that only has vertical sidewalls. Right: an absorber with non-vertical sidewall. The substrate and superstrate are assumed as infinitely thick in the Waveguide Method. matrix in the implementation. In this section, the Fraunhofer in-house implementation of the Waveguide EMF solver is presented with novel reformulations of the original proposed model by Lucas [Luc96] Initial problem If we assume a stationary exp(iωt) time dependence and use Gaussian units 1, the Maxwell s equations within a source- and current-free and non-magnetic structure can be written as 2 : (εe) = 0 (2.1) H = 0 (2.2) E = ik H (2.3) H = ikε E (2.4) where ε is the permittivity, k = ω/c, E and H are the electric and magnetic fields, respectively. From Eq. (2.2) we can define a vector potential A as: H = A (2.5) 1 The difference of Maxwell s equations between Gaussian units and SI units is explained in the publication [Rae88] 2 This derivation can be also generalized for absorbing materials with a non-zero current

37 2.1 Algorithm of the Waveguide Method 25 From Eq. (2.3) we obtain: ( E ik A) = 0 (2.6) This results in a solution of E by introducing an arbitrary scalar potential ϕ: E = ik A ϕ (2.7) Use Eqs. (2.5) and (2.7) in Eq. (2.4), we obtain: ( A) = ikε (ik A ϕ) (2.8) that is: 2 A + k 2 ε A ( A) + ikε ϕ = 0 (2.9) Next we use the gauge transformation freedom for invariant vector and scalar potentials [Che88]: A A Λ, ϕ ϕ ikλ (2.10) Such changes introduced by arbitrary gauge function Λ do not affect the solution of E and H. We can choose the gauge function to satisfy: 2 Λ + k 2 ελ = 0 (2.11) which then implements the Lorentz normalization given as: A = ikεϕ (2.12) Apply Eq. (2.12) into Eq. (2.9), we can simplify Eq. (2.9) to be: 2 A + k 2 ε A + ε 1 ε ( A) = 0 (2.13) A slight modification of the third term in Eq. (2.13) leads to: 2 A + k 2 ε A (logε) ( A) = 0 (2.14) When ε is not spatially uniform as in the case of gratings or lithographic masks, the third term in Eq. (2.14) must be solved as a coupled differential equation. To further simplify the Eq. (2.14), the simulated structure is sliced into layers which are z-directional homogeneous. The homogeneity of one layer is defined as a uniform dis-

38 26 2 Theory of the Waveguide Method tribution of ε along the z direction. This simplification of ε allows us to separate the z variant out from Eq. (2.14): 2 A z + k 2 εa z = 0 (2.15) The work of Tanabe [Tan92] proves that if we choose the gauge function Λ to be: Λ(x, y, z) = z z 0 A z (x, y, z )dz + h(x, y) (2.16) where z 0 and h(x, y) can be solved to make Λ satisfy Eq. (2.11). Using Eq. (2.10), we can further eliminate the z component when Λ fulfills: Λ z = A z (2.17) After the gauge transformation, we obtain two coupled differential equations from Eq. (2.14): 2 A x + k 2 εa x x (logε)( A x x + A y y ) = 0 (2.18) 2 A y + k 2 εa y y (logε)( A x x + A y y ) = 0 (2.19) A z = 0 (2.20) Up to now, the six variants (E x, E y, E z, H x, H y, H z ) in Maxwell equations are simplified be a two-variable (A x and A y ) problem described by Eqs. (2.18) and (2.19). The reformulations significantly reduce the computational complexity Eigenvalue problem To further simplify the Eqs. (2.18) and (2.19) we substitute A x (x, y, z) = f(x, y)z(z) (2.21) A y (x, y, z) = g(x, y)z(z) (2.22) to separate the z dependence. Assume Z(z) can be described as a combination of the forward propagating light and the backward propagating light Z(z) = Cexp(αz) + C exp( αz) (2.23)

39 2.1 Algorithm of the Waveguide Method 27 we can derive from Eqs. (2.18) and (2.19) 2 f x f y 2 + fα2 + k 2 εf x (logε)( f x + g y ) = 0 (2.24) 2 g x g y 2 + gα2 + k 2 εg y (logε)( f x + g y ) = 0 (2.25) We now represent f(x, y) and g(x, y) in Fourier modes f(x, y) = g(x, y) = L M l= L m= M L M l= L m= M B l,m exp[i2π(b x lx + b y my)] (2.26) D l,m exp[i2π(b x lx + b y my)] (2.27) where 1/b x and 1/b y are the dimensions of the computed domain along x and y direction, L and M are the truncated order number. Note these formulations from Lucas [Luc96] do not consider the information of the incident light, such as the incident angle and the azimuth angle (see the definition in the following paragraph), in the Fourier basis. In situation of coherent and monochromatic illumination on the surface of the structure, the information of the incidence can be described by the incident angle θ and the azimuth angle φ as illustrated in Figure 2.2. In general, the off-axis illumination produces a tilted wavefront with respect to the surface of the structure. The wavefront introduces a periodic phase deformation on the surface which normally has a different periodicity compared to the periodicity of the structure (e.g. mask pitch size). A possible solution to involve the real phase information in the Waveguide Method is to include it as an equivalent phase shift in the Fourier basis. Instead of Eqs. (2.26) and (2.27), a correction of the Fourier basis is performed : where the abbreviations are: f(x, y) = g(x, y) = L M l= L m= M L M l= L m= M B l,m Ψ l,m (2.28) D l,m Ψ l,m (2.29) Ψ l,m = exp(φ l x + Γ m y) (2.30) Φ l = 2πlb x i k 0 n sup isinθcosφ (2.31)

40 28 2 Theory of the Waveguide Method Figure 2.2: Illustration of plane wave incidence on a photomask. θ is denoted to the incident angle of the plane wave and φ to the azimuth angle. Γ m = 2πmb y i k 0 n sup isinθsinφ (2.32) We now can rewrite Eqs. (2.21) and (2.22). In the superstrate (see Figure 2.1): A sup x = A sup y = L M l= L m= M L M l= L m= M A inc x,l,m Φ l,mexp[ α sup l,m z] + A inc y,l,m Φ l,mexp[ α sup l,m z] + L M l= L m= M L M l= L m= M A r x,l,m Φ l,mexp[+α sup l,mz] (2.33) A r y,l,m Φ l,mexp[+α sup l,mz] (2.34) where α sup l,m are the eigenvalues in the superstrate, Ainc l,m is the potential of the incident light, and A r denotes the potential of the reflected light. In the substrate: A sub x = A sub y = L M l= L m= M L M l= L m= M A tr x,l,m Φ l,mexp[ αl,m sub z] (2.35) A tr y,l,m Φ l,mexp[ αl,m sub z] (2.36) where α sub l,m are the eigenvalues in the substrate and Atr denotes the potential of the transmitted light. Note the assumption that there is no back propagating light in the

41 2.1 Algorithm of the Waveguide Method 29 substrate, so the reflection term is missing in the formula. In the j th layer: A j x = A j y = 2N [c j h=1 2N [c j L h exp(αj h (z d j)) + c +j h exp( αj h z)] L h exp(αj h (z d j)) + c +j h exp( αj h z)] l= L m= M h=1 l= L m= M M B j l,m,h Ψ l,m (2.37) M D j l,m,h Ψ l,m (2.38) where N = (2L + 1)(2M + 1). The equations of the j th layer can be understood as follows (the equations of superstrate and substrate are similar): the first summation refers to the z dependence function of Eq. (2.23) which consists of 2N eigenmodes in the j th layer. For each eigenmode, Eqs. (2.28) and (2.29) can be solved and the vectors B j l,m,h and Dj l,m,h are obtained with respect to h. To solve the 2N eigenmodes, we apply Eqs. (2.28) and (2.29) in Eq. (2.24) and (2.25) and that gives: L M D l,m Ψ l,m (Φ 2 l + Γ2 m + α 2 ) l= L m= M + k 2 L n= L p= M M L M ε n,p Ψ n,p D l,m Ψ l,m l= L m= M L M L M Γ pε n,pψ n,p Φ l B l,m Ψ l,m n= L p= M l= L m= M L M L M Γ pε n,pψ n,p Γ m D l,m Ψ l,m = 0 n= L p= M l= L m= M (2.39)

42 30 2 Theory of the Waveguide Method L M B l,m Ψ l,m (Φ 2 l + Γ2 m + α 2 ) l= L m= M + k 2 L n= L p= M M L M ε n,p Ψ n,p B l,m Ψ l,m l= L m= M L M L M Φ nε n,pψ n,p Φ l B l,m Ψ l,m n= L p= M l= L m= M L M L M Φ nε n,pψ n,p Γ m D l,m Ψ l,m = 0 n= L p= M l= L m= M (2.40) where Φ l = 2πilb x Γ m = 2πimb y Ψ l,m = exp(φ l x + Γ my) ε j (x, y) = ln(ε j (x, y)) = 1 ε j (x, y) = L M l= L m= M L M l= L m= M L M l= L m= M ε j l,m exp(φ l x + Γ mz) (2.41) ε j l,m exp(φ l x + Γ mz) (2.42) ε j l,m exp(φ l x + Γ mz) (2.43) If we combine B j l,m,h and Dj l,m,h as a 2N by 2N matrix G k,h which follows: G j i,h = Bj (l,m),h, Gj i+n,h = Dj (l,m),h (2.44) then Eqs. (2.39) and (2.40) can be rewritten as a 2N 2N matrix equation. The eigenvalues (α j h )2 and the eigen vectors G j h are solved from this eigenvalue equation that characterizes the j th layer: F j G j h = (αj h )2 G j h (2.45)

43 2.1 Algorithm of the Waveguide Method 31 In this equation, F j is a 2N 2N eigen matrix with the elements: F (l,m),(n,p) F (l,m)+n,(n,p)+n F (l,m),(n,p)+n F (l,m)+n,(n,p) = δ l n,m p (Φ 2 l + Γ2 m) k 2 ε l n,m p + ε l n,m p Φ l n Φ n = δ l n,m p (Φ 2 l + Γ2 m) k 2 ε l n,m p + ε l n,m p Γ l n Γ n = ε l n,m p Γ pφ l n = ε l n,m p Γ m pφ n where the combinations of (l,m) and (n,p) refer to the indices of rows and columns, respectively Boundary conditions According to the eigen equation (Eq. (2.45)), the allowed 2N eigenmodes that can exist in the j th layer are described in terms of the eigen values (α j h )2 and the eigen vectors G j h. Specifically, the eigen values determine the wave vector in z direction and the eigen vectors determine the x and y Fourier coefficients for each corresponding eigen mode. However the coefficients for the forward and backward propagating light (c j h and c +j h in Eqs. (2.37) and (2.38)) are still required to explicitly describe the potential vector A. They can be obtained by applying the boundary conditions between neighboring layers j and j + 1, that is, the continuity of the electric field E x and E y and the magnetic field H x and H y : E j x = E j+1 x E j y = E j+1 y H j x = H j+1 x H j y = H j+1 y From Eqs. (2.7), (2.12), and (2.18) to (2.20) we get the expressions: E x = ika x + i k [( Ax x + A ) y y x ( ) 1 + ε ( ) ( 1 2 )] A x ε x A y y x (2.46) (2.47) E y = ika y + i k [( Ax x + A ) y y y ( ) 1 + ε ( ) ( 1 2 )] A y ε y A x x y (2.48) H x = A y z, H y = A x z (2.49)

44 32 2 Theory of the Waveguide Method Applying Eqs. (2.33) to (2.38), we obtain in the superstrate: H sup x H sup y E sup x E sup y = 1 µ = 1 µ = ic k L M l= L m= M L M l= L m= M L M l= L m= M (α sup l,m )Ainc y,l,m Ψ l,mexp[ α sup l,m z] (α sup l,m )Ainc x,l,m Ψ l,mexp[ α sup l,m z] + L M l= L m= M L M l= L m= M (α sup l,m )Ar y,l,m Ψ l,mexp[+α sup l,m z] (α sup l,m )Ar x,l,m Ψ l,mexp[+α sup l,m z] [ (A inc x,l,m ((Φ2 l /n2 sup) + k 2 ) + A inc y,l,m (Γ mφ l /n 2 sup) ) exp[ α sup l,m z] + ( A r x,l,m ((Φ2 l /n2 sup) + k 2 ) + A r y,l,m (Γ mφ l /n 2 sup) ) exp[+α sup l,m z] = ic k L M l= L m= M ] Ψ l,m [ (A inc x,l,m (Γ mφ l /n 2 sup) + A inc y,l,m ((Γ2 m/n 2 sup) + k 2 ) ) exp[ α sup l,m z] + ( A r x,l,m (Γ mφ l /n 2 sup) + A r y,l,m ((Γ2 m/n 2 sup) + k 2 ) ) exp[+α sup l,m z] ] Ψ l,m (2.50) In the j th layer we obtain: H j x = 1 µ H j y = 1 µ 2N h=1 2N α j h [ c j h exp(αj h (z d j)) + c +j h exp( αj h z)] L α j h [c j h exp(αj h (z d j)) c +j h exp( αj h z)] L h=1 2N [c j M l= L m= M M l= L m= M D j l,m,h Ψ l,m B j l,m,h Ψ l,m Ex j = ic k h exp(αj h (z d j)) + c +j h exp( αj h z)] L M h=1 l= L m= M { [ L k 2 B j l,m,h + M [ ε l n,m p (Φ n + Φ l n )(Bj n,p,h Φ n + D j n,p,h p)] ]} Γ (2.51) Ψ l,m n= L p= M Ey j = ic 2N [c j k h exp(αj h (z d j)) + c +j h exp( αj h z)] L M h=1 l= L m= M { [ L k 2 D j l,m,h + M [ ε l n,m p (Γ p + Γ m p)(b j n,p,h Φ n + D j n,p,h p)] ]} Γ Ψ l,m n= L p= M

45 2.1 Algorithm of the Waveguide Method 33 H sub x H sub y E sub x E sub y and in the substrate we obtain: = 1 µ = 1 µ = ic k = ic k L M l= L m= M L M l= L m= M L M l= L m= M L M l= L m= M (α sub l,m )Atr y,l,m Ψ l,mexp[ α sub l,m z] ( α sub l,m )Atr x,l,m Ψ l,mexp[ α sub l,m z] [ (A tr x,l,m ((Φ2 l /n2 sub ) + k2 ) + A tr y,l,m (Γ mφ l /n 2 sub )) exp[ αl,m ]Ψ sub z] (2.52) l,m [ (A tr x,l,m (Γ mφ l /n 2 sub ) + Atr y,l,m ((Γ2 m/n 2 sub ) + k2 ) ) exp[ α sub l,m z] ]Ψ l,m we get: Applying the boundary conditions between the superstrate and 1 st layer at z = 0, (α sup l,m )(Ainc (α sup l,m )(Ainc (A inc = + 2N y,l,m Ar y,l,m ) = α j h [ c j h exp( αj h d j) + c +j h h=1 2N x,l,m Ar x,l,m ) = α j h [ c j h exp( αj h d j) + c +j h h=1 ]Dj l,m,h ]Bj l,m,h x,l,m + Ar x,l,m )((Φ2 l /n2 sup) + k 2 ) + (A inc y,l,m + Ar y,l,m )(Γ mφ l /n 2 sup) { 2N h=1 [ L (A inc = + [ c j h exp( αj h d j) + c +j h ] M n= L p= M k 2 B j l,m,h [ ] ]} ε l n,m p (Φ n + Φ l n )(Bj n,p,h Φ n + D j n,p,h Γ p) y,l,m + Ar y,l,m )((Γ2 m/n 2 sup) + k 2 ) + (A inc x,l,m + Ar x,l,m )(Γ mφ l /n 2 sup) { 2N h=1 [ L [ c j h exp( αj h d j) + c +j h ] M n= L p= M k 2 D j l,m,h [ ] ]} ε l n,m p (Γ p + Γ m p)(b j n,p,h Φ n + D j n,p,h Γ p) (2.53) Here j = 1 refers to the first layer at the superstrate. These equations can be understood as follows: as the light propagates from the superstrate layer into the 1 st layer, the coefficients in the superstrate as A inc y,l,m and Ar y,l,m are transformed to be the coefficients

46 34 2 Theory of the Waveguide Method in the 1 st layer, that is, c 1 h Let AV inc and AV r be: AV inc (l,m) = and c+1 h. [ A inc x,l,m A inc y,l,m ], AV r (l,m) = [ A r x,l,m A r y,l,m ] (2.54) Eq. (2.53) can be rewritten as a matrix equation: [ Q sup P sup Q sup P sup ] [ AV inc AV r ] [ = W 1 W 1 X 1 V 1 V 1 X 1 ] [ c +1 c 1 ] (2.55) where Q sup and P sup refer to 2N 2N matrices with expressions: [ Q sup δ = (l,m),(n,p) (k 2 + (Φ 2 l /n2 sup)) δ (l,m),(n,p) Γ m Φ l /n 2 sup δ (l,m),(n,p) Γ m Φ l /n 2 sup δ (l,m),(n,p) (k 2 + (Γ 2 m/n 2 sup)) [ ] P sup δ(l,m),(n,p) α sup l,m 0 = 0 δ (l,m),(n,p) α sup l,m ] (2.56) Other symbols are: V j (l,m),h = Bj l,m,h αj h V j (l,m)+n,h = Dj l,m,h αj h X j l,h = δ l,hexp( α j h d j), (l = 1..2N), (h = 1..2N), (N = (2L + 1)(2M + 1)) [ L W j (l,m),h = k2 B j l,m,h + M [ ] ] ε l n,m p (Φ n + Φ l n )(Bj n,p,h Φ n + D j n,p,h Γ p) (2.57) W j (l,m)+n,h = k2 D j l,m,h + [ n= L p= M L M n= L p= M [ ] ] ε l n,m p (Γ p + Γ m p)(b j n,p,h Φ n + D j n,p,h Γ p)

47 2.1 Algorithm of the Waveguide Method 35 Similar derivation of the boundary condition between j th and s = j + 1 layer: 2N h=1 2N α j h [c+j h α j h [c+j h h=1 2N [c +j h h=1 + = + [ L exp( αj h d j) c j h exp( αj h d j) c j h exp( αj h d j) + c j h ] M n= L p= M 2N [c s h=1 [ L 2N ]Dj l,m,h = αh s [ c s h exp( αs h d s) + c +s h h=1 2N ]Bj l,m,h = αh s [ c s h exp( αs h d s) + c +s h { k 2 B j l,m,h h=1 [ ] ]} ε j l n,m p (Φ n + Φ l n )(Bj n,p,h Φ n + D j n,p,h Γ p) h exp( αs h d s) + c +s h ] M n= L p= M 2N [c +j h h=1 + = + [ L { k 2 B s l,m,h [ ] ]} ε s l n,m p (Φ n + Φ l n )(Bs n,p,h Φ n + Dn,p,h s Γ p) exp( αj h d j) + c j h ] M n= L p= M 2N [c s h=1 [ L { k 2 D j l,m,h [ ] ]} ε j l n,m p (Γ p + Γ m p)(b j n,p,h Φ n + D j n,p,h Γ p) h exp( αs h d s) + c +s h ] M n= L p= M { k 2 D s l,m,h [ ] ]} ε s l n,m p (Γ p + Γ m p)(bn,p,h s Φ n + Dn,p,h s Γ p) ]Ds l,m,h ]Bs l,m,h (2.58) where d j is the thickness of j th layer. Rewrite Eq. (2.58) in a matrix formulation: [ W j X j V j X j W j V j ] [ c +j c j ] [ = W s V s ] [ W s X s V s X s c +s c s ] (2.59)

48 36 2 Theory of the Waveguide Method Finally between last layer and the substrate layer we obtain: (α sub l,m )Atr (α sub l,m )Atr y,l,m = 2N h=1 x,l,m = 2N h=1 α j h [c+j h α j h [c+j h exp( αj h d j) c j h ]Dj l,m,h exp( αj h d j) c j h ]Bj l,m,h 2N A tr x,l,m ((Φ2 l /n2 sub ) + k2 ) + A tr y,l,m (Γ mφ l /n 2 sub ) = { k 2 B j l,m,h + [ L M n= L p= M [c +j h h=1 exp( αj h d j) + c j h ] [ ε l n,m p (Φ n + Φ l n )(Bj n,p,h Φ n + D j n,p,h Γ p) 2N A tr y,l,m ((Γ2 m/n 2 sub ) + k2 ) + A tr x,l,m (Γ mφ l /n 2 sub ) = { k 2 D j l,m,h + [ L M n= L p= M [c +j h h=1 ] ]} exp( αj h d j) + c j h ] [ ε l n,m p (Γ p + Γ m p)(b j n,p,h Φ n + D j n,p,h Γ p) ] ]} (2.60) in matrix formulation: [ Q sub P sub ] AV tr = [ W n X n V n X n W n V n ] [ c +n c n ] (2.61) where Q sub and P sub are described as: [ Q sub δ = (l,m),(n,p) (k 2 + (Φ 2 l /n2 sub )) δ (l,m),(n,p)γ m Φ l /n 2 sub δ (l,m),(n,p) Γ m Φ l /n 2 sub δ (l,m),(n,p) (k 2 + (Γ 2 m/n 2 sub [ ] )) P sub δ = (l,m),(n,p) αl,m sub 0 0 δ (l,m),(n,p) αl,m sub ] (2.62) Final solutions From the boundary conditions we obtain the propagation equations (2.55), (2.59), and (2.61) written in matrix formulation. These equations describe how the coefficients of the reflected and the transmitted light propagate from the superstrate to the substrate. For real-world lithography simulations, the illumination of the mask is regarded as the input of the equation system, notated as AV inc. The results of interest in most lithographic applications are either the transmitted light through the photomask (AV tr ) for optical

49 2.1 Algorithm of the Waveguide Method 37 lithography, or the reflected light on top of the photomask (AV ref ) in EUV lithography 3. The intermediate coefficients of c +j and c j correspond to the internal transmitted light (c +j ) and reflected light (c j ). These coefficients are required to compute the internal field distribution as discussed in Section 4.2. If we left multiply a matrix in Eq. (2.61) as: [ W n V n W n V n let: [ ] 1 [ f n+1 g n+1 ] Q sub P sub = [ ] Q sub P sub AV tr = ], [ [ a n b n W n V n ] = W n V n [ W n V n ] 1 [ W n V n W n X n V n X n ] 1 [ then we obtain the equations connecting AV tr and c n with c +n : AV tr = a 1 n X n c +n W n V n f n+1 g n+1 ] ] [ c +n c n ] (2.63) (2.64) c n = b n AV tr = b n a 1 n X n c +n (2.65) Similar transformations through all the layers can lead to the expressions of AV tr and AV r depending on the input AV inc : AV tr =a 1 n X n a 1 n 1 Xn 1 a 1 1 X1 2((Q sup ) 1 f 1 + (P sup ) 1 g 1 ) 1 AV inc (2.66) AV r =((Q sup ) 1 f 1 (P sup ) 1 g 1 )((Q sup ) 1 f 1 + (P sup ) 1 g 1 ) 1 AV inc Intermediate components of c +j and c j follow the equations: c j+1 =a 1 j X j a 1 j 1 Xj 1 a 1 1 X1 2((Q sup ) 1 f 1 + (P sup ) 1 g 1 ) 1 AV inc (2.67) c (j+1) =b j+1 a 1 j+1 Xj+1 c j+1 with [ f n+1 g n+1 [ [ a j b j f j g j ] [ = ] [ = ] [ = Q sub P sub W j V j ] W j V j ] 1 [ f j+1 g j+1 W j (1 + X j b j a 1 j X j ) V j (1 X j b j a 1 j X j ) ] ] (2.68) 3 In some applications, the result of interest is the distribution of internal electric field. An extension is developed for this purpose in Chapter 3

50 38 2 Theory of the Waveguide Method To compute the E field of the transmitted light and the reflected light from A vectors, we use the Eqs. (2.50) and (2.52): EV inc = ic k Qsup AV inc, EV r = ic k Qsup AV r, EV tr = ic k Qsup AV tr (2.69) with EV (l,m) = [ E x,l,m E y,l,m ] (2.70) Here the Fourier series of the electrical field, i.e. E x,l,m and E y,l,m, are the actual input for the Waveguide computation. In case of a plane wave illumination, any arbitrary polarization state of the incident light can be represented by these series, e.g., E x,l,m is 1 at zero order for TM polarization (x polarization in case of vertical incidence) and E y,l,m is 1 at zero order for TE polarization (y polarization in case of vertical incidence). E inc z,l,m = E r z,l,m = E tr z,l,m = ic kn 2 sup ic kn 2 sup ic kn 2 sub α sup l,m (Ainc x,l,m Φ l + A inc y,l,m Γ m) α sup l,m (Ar x,l,m Φ l + A r y,l,m Γ m) α sub l,m (Atr x,l,m Φ l + A tr y,l,m Γ m) (2.71) Until now, we have established the Fourier expansion based solutions of the Waveguide Method to compute the transmitted and reflected light resulting from an incident monochromatic plane wave with an arbitrary direction and polarization on a 3D photomask. 2.2 Constrains of the method The presented Waveguide theory is based on several assumptions. The first and the last layer are always regarded as an infinitely thick and homogeneous layer. In particular, the infinite thick substrate layer suggests that there is no backward propagating light inside the last layer. Equivalently, if a thin substrate layer has a high absorption that suppresses the reflection from the bottom, the model is still valid. For the simulation of other applications, e.g. a vertically periodic waveguide, there is no such last layer. A certain reformulation of Eq. (2.61) is indispensable in this case. The Fourier expansion applied in the Waveguide Method produces a discrete spectrum which is based on the assumption that the simulated structure is periodic. In

51 2.2 Constrains of the method 39 lithography, a photomask usually comprises of dense, semi-dense, and isolated features. For features like dense lines/spaces (L/S) and dense contact hole (CH) arrays, the periodicity is the pitch size of the feature which is normally very small. For semi-dense L/S and CH, the periodicity becomes larger. In case of isolated features, the periodicity is usually regarded as a much larger (> 10 ) value compared to the size of the feature itself. In consequence, the computation cost of such features is more expensive. A possible solution to break the periodicity is to add a perfectly matched layer (PML) at the left and right boundary of the mask. The propagating and evanescent waves attenuate at these boundaries and the non-periodicity is then incorporated. The input to the Waveguide Method are the illumination and the permittivity distribution of the simulated structure. The method itself strictly requires the structure to be sliced into vertically homogeneous layers in order to fulfill Eqs. (2.21) and (2.22). For ideal masks consisting of absorbers with vertical sidewall as shown on the left graph of Figure 2.1, the photomask can be easily sliced without loss of accuracy. In real-world lithography, the etching process may introduce complex topographies on the mask such as a sloped sidewall, line edge roughness (LER), surface roughness, footing, and corner rounding. As the technology node (see the definition in Section 1.1.3) is approaching towards 32 nm and below, the effects induced by these mask topographies have become more severe and have attracted numerous investigations (see Section 6.1). To model the irregular geometries as a user friendly input for the Waveguide Method, the mask requires to be sliced with a given resolution as illustrated on the right graph of Figure 2.1. Each sliced layer is approximated as vertically homogeneous. This approximation introduces certain loss of accuracy in the computation. In principle, a compromise between the resolution and the accuracy loss has to be achieved to devise the strategy of mask slicing for the Waveguide Method. Another important issue in the Waveguide Method is the simulation time. The computational complexity is nominally O(n 3 ) where n is the dimension of the internal operating matrix. Compared to other 3D models by Lucas et al. [Luc96] and Zhu et al. [Zhu04], our formulations split the transfer matrices which convey the intermediate vectors of c +j and c j between neighboring layers (see Eq. (2.59)), into four separate matrices. By doing this, the dimension n of the operating matrices is reduced by a factor of 2, or from 4(2 Order x +1)(2 Order y +1) to 2(2 Order x +1)(2 Order y +1), The computational complexity of the matrix operations, in consequence, is greatly reduced. However as the mask pitch size gets larger, more Fourier orders are required to obtain a sufficient accuracy. The computation time is increased by the power of 3 which finally becomes a show-stopper for the simulation of larger masks, e.g. 2 µm by 2 µm.

52 40 2 Theory of the Waveguide Method 2.3 Li-optimization theory The truncation in the Fourier expansion always induces a convergence problem at the places with jump discontinuities. This is known as the Gibbs phenomenon 4. Lifeng Li [Li93] has demonstrated that the convergence is much slower in case of metallic gratings using TM polarized illumination compared to other situations. In the works of [Lal96] and [Gra96], a dramatic improvement of the convergence speed has been achieved simply by reformulating the Fourier series. After that, Lifeng Li [Li96] has mathematically derived the theorem of the convergence optimization rules in the analysis of discontinuous periodic structures using a Fourier expansion. In the application of the Waveguide Method, the so called Li-optimization can be stated as follows:: Assume a function is factorized as h(x) = f(x) g(x). f(x) and g(x) are represented in the Fourier domain and h(x) is retrieved from them. 1. Rule 1: Laurent s rule If f(x) and g(x) have no concurrent jump of discontinuities, the Laurent s rule can be applied. The Fourier series of h(x) are computed directly by convolution: h n = f n g n (2.72) where denotes convolution, f n, g n, and h n are the Fourier series of f(x), g(x), and h(x), respectively. 2. Rule 2: Inverse rule If f(x) and g(x) have a concurrent jump of discontinuities, the inverse rule can be applied: h n = [[ ]] 1 1 g m (2.73) f nm Note here [[1/f]] nm refers to the Toeplitz matrix generated from the Fourier series of 1/f. The Toeplitz matrix is defined as: [[ ]] 1 = f ( 1 f ) ( ) 1 f ( ). 1 f 0 1 n 1 ( 1 f ) ( 1 f ) ( ). 1 f 1 0 n ( 1 f ) ( 1 f )... (. )... 1 f n+1 n+2 0 (2.74) or [[ ]] 1 = f i,j 4 ( ) 1 f i j (2.75)

53 2.3 Li-optimization theory 41 where (1/f) k is the k th Fourier order. The following example provides an simplified demonstration of the Li-optimization theory. Let: { 1 if x 1 y =f(x) = 0.5 else { 1 if x 1 y =g(x) = 2 else then y = h(x) = f(x) g(x) = 1 h(x) is computed using the two factorization rules described before. The simulation results are shown in Figure 2.3. f(x) and g(x) are plotted on the top graphs. The theoretical h(x) (red line) and the factorized h(x) (blue line) using the two rules are presented on the bottom graphs. It can be observed that the results from Laurent s rule behave in a manner with continuous oscillation that peaks at x = ±1 where jump discontinuities occur in f(x) and g(x). The maximum amplitude of the oscillation is about 0.05 or 5%. When inverse rule is applied, perfectly matched results are produced. To apply the Li-optimization in our model, we simply use the inverse rule wherever the condition is fulfilled. For example in Eq. (2.18), the factorized terms of ε and A x have concurrent jumps at the positions where ε is discontinuous. To reconstruct the Fourier expansion of ε A x, we apply the Li-optimization as: [[ ]] 1 1 (A x ) ε m (2.76) nm which converges faster compared to the conventional method: ε m (A x ) m (2.77) Similar reformulations can be performed in our model for every factorized term with concurrent discontinuities. As discussed and proven by Lalanne et al. [Lal96], Granet et al. [Gra96], and Li [Li96], the Li-optimization can significantly improve the convergence speed of RCWA and of the Waveguide Method. It is already regarded as an indispensable optimization in the implementation of the EMF solvers.

54 42 2 Theory of the Waveguide Method 1 2 y 0.8 y x (a) f(x) x (b) g(x) y 1 y x (c) h(x)-laurent s rule x (d) h(x)-inverse rule Figure 2.3: Comparison of Laurent s rule (c) and inverse rule (d). The ideal h(x) is plotted in red solid line on the bottom graphs. 2.4 First performance consideration Convergence of the diffraction efficiency The convergence of the Waveguide Method is evaluated by the convergence of the diffraction efficiency of the simulated masks. The diffraction efficiency is defined as: η m = I m I inc 0 (2.78) where I inc 0 is the amplitude of the 0 th order in the incident spectrum 5 and I m is the amplitude of the m th order of the diffracted spectrum (see Figure 2.4). The diffraction efficiencies are usually used to quantify the mask (or grating) capability to diffract 5 For plane wave incidence, the amplitude of the 0 th order in the spectrum is the same as the amplitude of the E field

55 2.4 First performance consideration 43 Figure 2.4: Illustration of incidence light diffracted into several orders. incident light into a specific order. In lithography application, diffraction efficiencies are used to help to identify conditions when rigorous EMF modeling is needed. Figure 2.5 presents the behavior of diffraction efficiencies of the 0 th and 1 st diffraction order versus the number of truncated Waveguide orders. Two example masks are studied: an AttPSM of 100 nm dense L/S (a) and a 65 nm CoG dense contact (b). For 2D dense lines, the efficiencies at both orders start to converge after 15 Waveguide orders are truncated. A similar performance is observed for 3D contacts where the efficiencies become constant for both 0 th and 1 st diffraction order after 9 Waveguide orders are employed. Similar convergence studies on EUV mask simulation can be found in the publication of Evanschitzky et al. [Eva05]. To quantify how the diffraction efficiency converges, the Waveguide order number at convergence is defined as the threshold that, the computed efficiencies using more Waveguide orders all have less than 1% oscillation. Figure 2.6 shows the quantified Waveguide order number at convergence for different masks and different pitches. Only the efficiency of the 0 th diffraction order is considered in the criteria. Dense, 1:4 semidense L/S, and fixed 45 nm lines are investigated. Pitches range from 90 nm to 410 nm at a step of 32 nm. A distinct linear dependency of the converging Waveguide order number on the pitch can be observed. The demonstrated dependency is discussed in Section 2.5 as a rule of thumb to determine the Waveguide order number by the mask pitch.

56 44 2 Theory of the Waveguide Method (a) AttPSMs of 100 nm dense L/S (b) 65 nm CoG dense contacts Figure 2.5: Simulated mask diffraction efficiencies versus truncated number of Waveguide orders. (a): an AttPSM of 100 nm dense L/S on wafer scale. Absorber thickness: 25 nm. (b): a 65 nm CoG mask with dense contacts on wafer scale. Both the 0 th and 1 st diffraction orders are investigated. Absorber thickness: 25 nm. Order number at convergence dense semi dense 45 nm line Pitch (nm) Figure 2.6: Simulated Waveguide order number at convergence of diffraction efficiency versus pitch. Dense, 1:4 semi-dense L/S, and lines with fixed 45 nm linewidth are investigated Computation time and memory consumption Figure 2.7 demonstrates the computation time (a) and memory consumption (b) of the Waveguide Method developed at Fraunhofer IISB. Two example masks are simulated:

57 2.4 First performance consideration 45 an AttPSM with dense L/S and a CoG mask with dense contacts. Waveguide orders from 2 to 20 are employed in the simulations. The vertical axis is in log scale. The results show rather small computation time for 2D L/S that increases very slowly as the order number gets larger. In contrast, the computation time of 3D contacts increases linearly in log scale, especially for large numbers of orders. This suggests an exponentially increased computation load scaled by the order number which results in a significant computation time for a large Waveguide order number. For example at 20 by 20 orders, the required computation time is already about 4 hours (the hardware is described in Section 1.4). (a) Computation time (b) Memory consumption Figure 2.7: Computation time (a) and memory consumption (b) versus number of Waveguide orders. An AttPSM with dense L/S as a 2D mask and a CoG mask with dense contacts as a 3D mask are simulated. The results are plotted in log scale. A similar behavior is also observed in memory consumption for 2D and 3D masks. At 20 orders, the memory requirement is about 1600 MB for 3D masks while only 0.4 MB for 2D masks. Nevertheless, the increasing improvement in computer hardware allows the memory consumption in Waveguide simulations to stay sustainable, far before the simulation time becomes infeasible Comparison with the finite-difference time-domain method The performance of the implemented Waveguide Method is compared with an in-house implemented finite-difference time-domain (FDTD) solver. Since FDTD operates in the space domain, the accuracy and computation time of FDTD are determined by the grid size of the spatially discretized mask. x is denoted to the discretization resolution

58 46 2 Theory of the Waveguide Method of FDTD. 1/ x is used as a discretization parameter in the field domain which is comparable to that of the Waveguide orders in the frequency domain. Figure 2.8 compares the simulation time (a) and accuracy (b) in terms of CD versus discretization parameters. An AttPSM of 80 nm dense L/S is illuminated by two TE polarized coherent plane waves at the incidence angle of an equivalent NA = 1.2. The Waveguide Method has shown superior performance in both speed and accuracy compared to FDTD. However, for the simulation of 3D masks with larger features/pitches or non-vertical sidewalls, the computation time required in Waveguide is scaled up dramatically by the pitch size (Figure 2.7) or the number of inhomogeneous layers after slicing (see Figure 2.1). Therefore, the advantage of the Waveguide Method in such cases is less pronounced. (a) Computation time (b) Printed CD error Figure 2.8: Comparison of computation time (a) and printed CD error (b) using the Waveguide Method and FDTD. An AttPSM of 80 nm dense L/S is simulated under the illumination of two TE polarized coherent plane waves at the incidence angle of an equivalent NA = 1.2. Picture from [Erd06]. 2.5 Rules of thumb From the convergence analysis of the diffraction efficiency as shown in Figure 2.5, we can conclude with an experimental rule to define the required order number to achieve a sufficient accuracy. This number is proportional to the periodicity of the mask and reciprocal to the wavelength. Additionally, it is strongly affected by the difference of the material permittivity in the mask. In optical lithography, the permittivity discontinuity

59 2.5 Rules of thumb 47 between metallic materials such as chrome and other dielectric materials is normally quite large. More orders (higher frequencies) are required to describe the large discontinuity. In EUV lithography, the permittivities of different materials are usually very close. Therefore, less orders (lower frequencies) are already sufficient to obtain convergent results. Quantitatively, we have our rule of thumb to compute the truncated order number: { 3b x,y /λ for optical masks (i.e. 193 nm) N x,y = b x,y /2λ for EUV masks (i.e nm) (2.79) where b x and b y are the mask sizes along x and y direction. Note the different factors in the equation between optical and EUV lithography. The formula also suggest a less stringent requirement of convergence and a faster simulation speed in EUV lithography rather than in optical lithography. With the rule of thumb applied, Figure 2.6 can be replotted as the left graph in Figure 2.9. As can be observed, in most cases the order number determined by the rule of thumb is more than required to achieve convergence in terms of diffraction efficiency. Slightly more Waveguide orders should be used in case of dense L/S for all pitches. In contrast, much less orders than the rule of thumb are really needed for semi-dense L/S and fixed 45 nm lines at large pitches. The convergence in case of EUV masks is also evaluated. The same mask patterns as in the optical case are simulated. The resulting order number at convergence versus the order number obtained by the rule of thumb is plotted on the right graph. Compared to optical masks, the convergence of EUV masks predicted by the rule of thumb is more comfortable at large pitches. This can be explained as that the mask features are comparable or larger than the wavelength in EUV. For example, a 22 nm feature on an EUV mask corresponds to about 6.5λ (mask scale) while a 45 nm feature on an optical mask only corresponds to 0.9λ. As discussed above, the computation time of the mask diffraction is related to the truncated order number N. The most time consuming operation in the algorithm is to solve the eigen matrix at a cost of O(M 3 ) where M is the dimension of the matrix itself. We can approximate the simulation time as: t = O(n M 3 ) where : M = (2N x + 1) for 2D M = (2N x + 1)(2N y + 1) for 3D (2.80) where n is the number of inhomogeneous layers to be solved, M is the dimension of the

60 48 2 Theory of the Waveguide Method Converged order number dense semi dense 45 nm line Converged order number dense semi dense 22 nm line Rule of thumb (a) Convergence of optical masks Rule of thumb (b) Convergence of EUV masks Figure 2.9: Simulated Waveguide order number at convergence of diffraction efficiency versus the number of orders predicted by the rule of thumb. (a): convergence of optical masks. Eq. (2.79) is applied on the pitches on the x axis in Figure 2.6. (b): convergence of EUV masks. The same mask patterns as optical masks are studied. Pitches range from 22 nm to 134 nm at a step of 6.75 nm. eigen matrix for 2D and 3D masks. The simplified model fits the simulation results for larger M as displayed in Section For smaller M, the overhead of other numerical operations become comparable to the time required to solve the eigen matrix. Another issue of the computational performance is the memory consumption during simulations. In general, the memory is allocated for three purposes: the representation of the simulated domain, the recording of the intermediate matrices, and other overhead. The memory consumption of the mask representation strongly depends on the method for the mask geometry specification. In Section 3.2, a rectangle-based description is developed. The results shown in Section are the memory consumption of the Waveguide algorithm without the representation of the mask. Compared to the scaling of the computation time, the memory consumption stays in a feasible range when more Waveguide orders are applied.

61 Chapter 3 Extension of the Waveguide Method for photomask simulation In this chapter, the major challenges of the Waveguide Method for mask-side simulations are discussed. The developed extensions are presented: a rectangle-based mask description approach which does not require any discretization of the mask is proposed. A decomposition technique based on the Waveguide Method is also developed. This decomposition technique is demonstrated to gain an extreme speedup with a limited loss of accuracy in the aerial image. 3.1 Computational challenges for mask simulations using Waveguide The requirement of rigorous EMF solvers for mask nearfield simulations is driven by the continuously decreasing feature size in the semiconductor industry. On one hand, as the technology node is getting down to 32 nm and below after 2010, the ignorance of rigorous mask effects becomes intolerable. The simplified thin mask model (Kirchhoff model) makes the final lithographic results different from what is expected or even completely wrong (see rigorous mask diffraction effects in Section 6.1). On the other hand, the computation speed of the current rigorous EMF solvers is still not comparable to that of the conventional thin mask model. This deficiency crucially prohibits the application of rigorous EMF solvers in the simulation of advanced lithography techniques such as full chip optical proximity correction (OPC) and source mask optimization (SMO). For the Waveguide Method described in Chapter 2, the computation time is estimated straightforwardly by Eqs. (2.79) and (2.80). For 3D masks, if the mask size is small ( 1 µm by 1 µm), the computation time is limited in the range of minutes. In this case, the deficiency of the speed can be ignored in quantitative investigations like characterization of polarization effects, printability of EUV defects, etc. However, this deficiency becomes a critical issue if 3D rigorous EMF computation has to be performed extensively or the mask size gets larger. Compared to the thin mask model, the expense of the Waveguide Method, as well as the other rigorous solvers can be hardly afforded 49

62 50 3 Extension of the Waveguide Method for photomask simulation particularly in the applications of design for manufacturability (DFM) techniques. There are several ways to speedup the Waveguide solver: 1. Reduce the overhead of the mask meshing from the user input. A self-developed efficient mask description method is proposed and discussed in Section Optimize the code, e.g. use more efficient numeric packages like LAPACK 1, BLAS 2 and optimized compilers. 3. Employ parallelizations in the solver which is presented in Chapter 5 4. Waveguide decomposition technique which decomposes the 3D mask to be a series of 2D features. This algorithm is discussed in Section Rectangle-based mask description method Background Mask meshing is indispensable to represent the mask geometry of the user input in the way that the EMF solver can tackle. The efforts of the computation, the flexibility of different geometries, and the reliability are the major concerns of the meshing strategy. In the finite-difference time-domain method (FDTD), structured meshes are applied to describe the mask. The generation of the staggered grids can be both time and memory consuming for large masks with small features. In the finite-element method (FEM), the triangulation of the unstructured meshes can be also very expensive, depending on the complexity of the mask patterns. In the Waveguide Method, the required input for the solver is the expanded Fourier series of the material permittivity distribution ϵ(x, y, z), the inverse and logarithm of permittivity distribution (See Eqs. (2.41), (2.42), and (2.43)). The meshing strategy must be efficient to obtain these Fourier series. One easy option is to adapt the FDTDlike grid-based meshes and perform the Fourier transformation based on it. Similar to FDTD, the performance of this approach is dramatically aggravated when the mask size gets larger. As an alternative, a rectangle-based geometrical description of the lithographic masks for the Waveguide Method is presented for the first time. The mask patterns are rectangulated using rectangle operations. The Fourier series are computed analytically that results in fast computation speed and no loss of accuracy. 1 Linear Algebra PACKage, a software library for numerical linear algebra 2 Basic Linear Algebra Subprograms, a de facto application programming interface standard for publishing libraries to perform basic linear algebra operations

63 3.2 Rectangle-based mask description method Algorithm The algorithm of this approach is described in the following steps: 1. Step 1: vertical slicing Slice the mask into x-y parallel layers with homogeneous optical properties along the z direction. (See Figure 3.1). 2. Step 2: horizontal rectangulation Rectangulate the optical property distribution in each layer to be a number of non-overlapping rectangles that fully cover the whole layer. Figure 3.2 presents the rectangulation results of a photomask with a post absorber (left) and with more complex patterns (right). 3. Step 3: The Fourier transformation of the layer is computed as the summation of the transformation of each rectangle: F (R(x, y)) = j f(r j (x, y)) (3.1) where R(x, y) denotes the material distribution of the whole mask, r j (x, y) refers to the material distribution of the j th rectangle in the domain of the mask, and F is the Fourier transformation. Figure 3.1: Vertical slicing of the mask. The layers must be homogeneous along the vertical direction (z direction) after slicing.

64 52 3 Extension of the Waveguide Method for photomask simulation Figure 3.2: Horizontal rectangulation of each layer of the mask. Left: a typical mask geometry of Manhattan type split into 5 rectangles. Right: a complex mask geometry with curved boundaries. The most challenging step in this algorithm is the horizontal rectangulation. This process can be regarded as a transformation from the user input geometries to the rectangles desired by the model. In the Fraunhofer IISB implementation of the Waveguide Method, the user input is a list of rectangular absorbers with assigned materials and thickness values. Those input rectangles can overlay with each other and follow the rule that the later inputs overwrites the former ones. An example of the user input is demonstrated in Figure 3.3. The complexity of the transformation process strongly depends on the geometries, materials, and sequence of the input. In complicated cases, the transformation must be capable to tackle with arbitrary overlaid rectangles. The generalized problem of this is already well investigated as polygon operations [Ull84], such as polygon cutting, binding, etching, erosion, etc. Several mature libraries such as Boolean 3, Polypack 4, and PolyBoolean 5 are available to solve the problem efficiently. In the described model, all geometries are specified as rectangles only. Motivated by this feature, an extremely fast and robust algorithm that simplifies the geometry operations is developed and incorporated. At first, the input rectangles are sorted by ascending x coordinate and pushed into a first-in-first-out (FIFO) queue, namely the candidate queue. Another last-in-first-out (LIFO) queue is also established to store the target rectangle to be manipulated, namely the target queue. For each operating loop, the first rectangle is moved from the candidate queue to the empty target queue as a seed. The intersection of the target rectangles with all possible candidate rectangles is checked using the Bentley-Ottmann sweep line algorithm (see [Ben79]). If any intersection happens, the relevant rectangles are split into several non-overlapping rectangles according to the position, material, and input sequence (see Figure 3.4). The split parts from the target rectangle are pushed back into the target queue. The parts from the the 3 Author: Klaas Holwerda. 4 Author: David J. Kennison. 5 Author: Michael Leonov.

65 3.2 Rectangle-based mask description method 53 Figure 3.3: Example of the user input for the mask geometry in the Waveguide Method. candidate rectangle are pushed back into the candidate queue. The candidate rectangle is to be moved out as output when it is completely at the left side of the target candidate. The target candidate is to be moved out as output when the intersection check is finished. Each operating loop ends when the target queue becomes empty. The whole geometry transformation is finished when the candidate queue is empty. Figure 3.4 demonstrates all possible situations that the target rectangle A and the candidate rectangle B intersect with each other. The strategy of the splitting is also illustrated in each situation. For example in case (1), the target rectangle A is partly overlapped by the candidate rectangle B, and split into A1 and A2. The intersection check is continued while the previous target rectangle A is replaced by A1 and A2 is pushed into the target queue. Similar to that, if the candidate rectangle is split as shown in case (2), both B1 and B2 are pushed back into the candidate queue and the intersection check continues until the last candidate rectangle Results The computational complexity of the sweep line method is known as O(n log n) where n is the number of the input rectangles. The overall runtime of the proposed method depends on the exact material, position, and sequence of the input rectangles. To compare with the conventional grid-based meshing approach, several 2D and 3D masks with different pitches are simulated. The results and the mask parameters are summarized

66 54 3 Extension of the Waveguide Method for photomask simulation Figure 3.4: Enumeration of all possible circumstances for two overlaid rectangles in sequence. in Table 3.1. For all studied cases, the proposed approach takes almost no time for the generation of the mask meshing from the user input while the conventional approach takes up to 2 minutes. This improvement becomes increasingly important when the post computation time becomes comparable with the time of the mask transformation. For example, the Waveguide computation of a 360 nm by 360 nm mask is less than 3 seconds without a mask meshing. The overhead contributed by the old mask meshing approach can reach over 100%. This makes the whole Waveguide computation too expensive and

67 3.2 Rectangle-based mask description method 55 Table 3.1: Simulation time and memory consumption of different masks using the conventional mask description method (old) and the proposed rectangle-based mask description method (new). The kernel computation time after the mask meshing is also presented (kernel). Mask Time (s) Memory (MB) x (nm) y (nm) feature old new kernel old new line 0.06 < < line 0.15 < < contact 2.84 < < contact 25.7 < < contact < < 0.1 even infeasible for extreme applications like OPC. In contrast, the overhead contributed by the proposed approach can be completely ignored for the simulation of all practical photomasks 6. Another superior advantage of the proposed approach is the reduction of the memory consumption. For the conventional grid-based approach, the memory required to represent the mask domain greatly limits the size of the mask that can be computed. The new method describes the mask domain by the geometrical coordinates, so that the memory consumption is very small and independent from the mask size Discussion Mask meshing is one dominating source of the overhead in mask simulations using conventional meshing approaches. The proposed rectangle-based mask description method is demonstrated to significantly reduce its expense. The usability of the proposed method is mainly restricted by the requirement of the user input. The users need to specify the mask geometry as a list of rectangles with assigned material properties. For ideal masks of Manhattan type typically simulated in all investigations, the input of rectangles is quite straightforward. However for the masks with more complicated shapes, e.g. masks optimized with OPC, or EUV masks with rounded footing, other geometries such as polygons and circles should also be included as options of the user input to enhance the usability. In consequence, a robust auto-slicing strategy that can rectangulate arbitrary given geometries becomes indispensable. The accuracy of the rectangulation is determined by the dimension of the smallest rectangle (See Figure 3.2). It also correlates with the computational complexity of the rectangulation process and the following rectangular transformation. Therefore, certain rules of thumb are desired as the criteria to achieve a trade-off between the simulation accuracy and the overhead of the overall 6 In very extreme cases that the user input includes a huge number of overlapping rectangles, the overhead may get much larger.

68 56 3 Extension of the Waveguide Method for photomask simulation mask meshing. 3.3 Decomposition technique: extension for larger mask simulation Background In this section, a decomposition technique based on the Waveguide Method is discussed. This extension is motivated by the ever-increasing demand of a fast rigorous EMF solver as an alternative to the conventional thin mask model for the simulations of novel lithography technologies. The idea of the decomposition technique is inspired by the domain decomposition method (DDM) proposed by K.Adam in his PhD thesis [Ada01]. In DDM, the mask layout consisting of a series of features is decomposed into constituent mask templates containing these target features. The decomposed mask templates are simulated using rigorous EMF solvers 7 in advance and the results are stored in a library. The computation of the diffracted field of the target mask layout can be regarded as a synthesization of the results for each decomposed mask template. No rigorous EMF simulation is required in this synthesis. Therefore, the computation speed of DDM can be extremely fast even for large mask sizes with complex layout. On the other hand, the method still suffers from several deficiencies: For example, the modeling of the cross-talk between two neighboring mask features (esp. in 3D) depends on the compensation by pre-simulated templates of the relevant feature combinations. As the mask layout gets more complex, the consideration of all possible cross-talks is indispensable. Besides, the stitching of the mask layout gives different results for different stitching strategies. For complex mask layouts, the overall combination of the stitching strategies can be numerous. Rules of thumb need to be devised in order to obtain a proper stitching with sufficient accuracy. Unlike DDM, the presented decomposition technique operates in the spectrum domain instead of the field domain. Figure 3.5 shows the spectrum of the diffraction nearfield of 3D CoG dense contacts. As can be observed, the lower diffraction orders centered around the origin and along x and y axis have higher intensities. It suggests that more information on the diffracted light is carried by the low frequencies and by on-axis orders (frequencies) of the spectrum. In general, this phenomenon can be also observed in the spectra of other typical masks. Moreover, the succeeding lithographic image formation is characterized as a low-pass filter. The high frequencies of the transmitted/reflected spectrum from the mask are blocked by the entrance pupil of the projection lens system. The exit pupil and the following processes in the resist have similar characteristics. It suggests that the consideration of the on-axis low frequencies alone 7 FDTD in Adam s thesis

69 3.3 Decomposition technique: extension for larger mask simulation 57 can produce results with an acceptable accuracy loss. The following section presents the idea of the Waveguide decomposition method (WDM) that decouples the x and y dependent frequencies and synthesizes the overall spectrum after that y x Figure 3.5: Simulated nearfield spectrum of 65 nm (wafer scale) dense CoG contacts. The on-axis orders are highlighted by the dashed green rectangles. The intensity of the zero order is reduced in order to give a better contrast with respect to the other orders Algorithm In order to decouple the x and y dependent frequencies, the target mask is required to be decomposed in such a way that all the decomposed fractions of the mask have homogeneous distribution of material properties in either x or y direction. An example of the decomposition is illustrated in Figure 3.6. The 3D mask with a post absorber can be split into six cuts, labeled from 1 to 6, along the x and y directions. In the x cuts (denoted by cut 1, 2, 3), the material distribution along the x direction is homogeneous. The homogeneity allows these cuts to be regarded as 2D masks that only produce y frequency components. It is similar for the y cuts (denoted by cut 4, 5, 6) that only produce x frequency components. Both the x and y cuts are simulated using the Waveguide Method. The reduction of the computed targets from 3D to 2D provides a significant speedup (See Eq. (2.80)) with respect to the original 3D problem. The synthesization of these spectra to acquire

70 58 3 Extension of the Waveguide Method for photomask simulation Figure 3.6: Illustration of mask decomposition rules. The mask with a post absorber (green) is decomposed into two dimensional cuts (cut 1-6) with constant dielectric properties in x and y direction, respectively. Additional crossed cut areas (crossed by horizontal and vertical cuts) with constant dielectric properties in both directions are not displayed here, but required in the computation. the overall spectrum can be described by the equation: i j s 3D (f x, f y ) = s x (f x ) r i (f x, f y ) + s y (f y ) r j (f x, f y ) x cuts y cuts k s 1D (f x, f y ) r k (f x, f y ) 1D (3.2) where s x (f x ), s y (f y ) are the spectra computed for the x cuts and y cuts, respectively. r i (f x, f y ) is a convolution kernel computed as the Fourier transformation of the geometry of the i th cut. The influence of the cut s size and position is taken into consideration by the convolution of the relevant kernel and the spectrum obtained from the cut. In the last term of the equation, the contribution of the crossed areas (9 in this example) is subtracted. This is because the crossed areas are counted twice in the first two terms for x cuts and y cuts. Note the crossed areas have a homogeneous material distribution along both x and y direction, the computation of the spectra of them is extremely fast. The synthesization can also be achieved in the space domain. In that case, additional Fourier transformations are needed to compute the electric fields from the spectra of decomposed cuts. The obtained E field of each cut is then duplicated to cover the area of the cut rectangle in the mask. This process produces an additional sampling

71 3.3 Decomposition technique: extension for larger mask simulation 59 error that can be completely avoided if the synthesization is carried out in the spectrum domain by Eq. (3.2) Results Simulation speedup WDM computes a 3D mask as a series of 2D masks. As indicated by Eq. (2.80), the simulation time of WDM can be described as: t = K O(n M 3 ) (3.3) where M = 2N x +1 is the order number of one dimension (the difference between N x and N y is ignored here), n is the number of the inhomogeneous layers to be computed, and K refers to the number of the decomposed cuts. Compared to the computation time of the original 3D mask, the speedup of WDM is by the power of 2 (see Eq. (2.80)). Figure 3.7 displays an example of the speedup by WDM compared to the Waveguide Method without WDM (or fully rigorous Waveguide Method to differentiate from WDM). Dense CoG mesas with varying pitches between 128 nm and 1280 nm are simulated. Equivalent orders are determined by the rule of thumb (see Section 2.5) and plotted on the x axis. As can be observed, the increase of the computation time versus the order number using WDM is not noticeable (on the shown scale) for the studied mask geometry with 6 cuts. An excellent speedup of WDM compared to the fully rigorous Waveguide Method is observed. The advantage of WDM becomes increasingly important for the simulation of 3D masks as the pitches get larger. For the original Waveguide Method, the exponentially increased computation time restricts the simulation to be feasible for less than 30 by 30 Waveguide orders, or 2 µm by 2 µm mask size (computation time 52 hours). The employment of WDM enables the simulation of these large masks in very short time and greatly pushes the limit of rigorous simulation 8. Figure 3.8 shows the simulated nearfield of a large optical mask with a complex layout using WDM. The computed mask size is 8.6 µm by 9.4 µm ( 45λ 49λ). It corresponds to 133 by 146 orders as determined by the rule of the thumb. The estimated computation time using the Waveguide Method without decomposition is about 2000 days which is completely infeasible. With WDM, this large mask is split into 17 cuts in x direction the 14 cuts in y direction. computation time is dramatically reduced to 276 seconds with a memory consumption of 8 Compared to the fully rigorous Waveguide Method, WDM sacrifices some higher orders and x- y crossed orders. Although not fully rigorous, WDM solves the decomposed cuts rigorously, which certainly considers the rigorous effects ignored in non-rigorous solvers like TMM. Therefore, the WDM can be regarded as quasi-rigorous. The

72 60 3 Extension of the Waveguide Method for photomask simulation Time (seconds) WDM Waveguide Number of orders Figure 3.7: Computation time versus pitch for dense CoG mesas using the Waveguide Method and WDM, respectively. 50 MB only. A similar example for the simulation of EUV masks with WDM is presented in Figure 3.9. The same mask layout in Figure 3.8 is scaled for EUV lithography at a wavelength of 13.5 nm to make sure the same number of orders are required in the computation. The estimated computation time using the Waveguide Method is more than 2000 days. Using WDM, the mask can be computed within 4270 seconds with a memory consumption of 55 MB only Simulation accuracy As a trade-off of the significant speedup, WDM decouples the x and y dependent frequencies. It means during the computation of the spectrum along one direction, the variation along the other direction is not taken into account. The crossed terms in a fully computed 2D spectrum correspond to concurrent variation along both x and y directions. In WDM, the crossed terms are synthesized by the superposition of the spectra of x and y cuts convolved with the spectra of the geometry of each cut (see Eq. (3.2)). It can be expected that the major error of the spectrum predicted by WDM occurs for these crossed terms. Figure 3.10 displays an example of simulated nearfield spectra of dense CoG mesas. The results computed with the 3D Waveguide Method are used as the reference. The intensity and phase error produced by WDM are illustrated on the bottom graphs. The intensity error is computed as the absolute difference divided by the maximum value of the spectrum generated by the Waveguide Method. Only the truncated orders are displayed. The propagating orders are indicated by the area

73 3.3 Decomposition technique: extension for larger mask simulation 61 Figure 3.8: Simulated nearfield of a large optical mask (8.6 µm by 9.4 µm on mask scale) with a complex layout using WDM. Absorber thickness: 80 nm, material n and k: j. Wavelength: 193 nm, vertical incidence, TM polarized light. Simulation time 276 seconds, memory consumption 50 MB. Figure 3.9: Simulated nearfield of a large EUV mask (3.6 µm by 3.9 µm on mask scale) with a complex layout using WDM. Absorber thickness: 80 nm, material n and k: j. The incidence angle is 6 with 0 azimuth angle, TM polarized. Simulation time 4270 seconds, memory consumption 55 MB.

74 62 3 Extension of the Waveguide Method for photomask simulation inside the dashed rectangle. The maximum error of the intensity is 2.5%. However, this error occurs for non-propagating orders. It has no impact on the resulting image. The propagating orders contributing to the image formation in the far field are predicted by WDM with smaller error and less phase distortion y y x x 0 (a) Waveguide (b) WDM y y x x (c) Intensity error (%) (d) Phase error (rad) Figure 3.10: Simulated nearfield spectra of 65 nm (wafer scale) dense CoG mesas. (a): simulated with the Waveguide Method. (b): simulated with WDM. (c): intensity error in percent. (d): absolute phase error of the spectrum. The propagating orders are illustrated by the area inside the dashed rectangle on each graph. Figure 3.11 demonstrates how the error produced by WDM is transferred from the nearfield to the aerial image. The same mask as in Figure 3.10 is used. C-Quad illumination with a σ inner of 0.45, a σ outer of 0.65, and an opening angle of 20 is applied for the imaging (if not specified otherwise, the same imaging configuration is used for

75 3.3 Decomposition technique: extension for larger mask simulation 63 all simulations in this chapter). The differences of the nearfield and the aerial image between the two methods are shown on the top right graph and bottom right graph, respectively. It shows that WDM produces 25% maximum difference in the nearfield. The largest difference can be observed in the transition area between high intensity and low intensity. This corresponds to the high frequencies as shown in Figure In contrast, only 0.5% maximum difference is observed in the aerial image. It means the error of the aerial image predicted by WDM can be completely ignored in this example. (a) Waveguide (b) WDM (c) Difference (%) (d) Waveguide (e) WDM (f) Difference (%) Figure 3.11: Simulation results of 65 nm dense CoG mesas. Left: nearfield (a) and aerial image (d) using the Waveguide Method. Center: nearfield (b) and aerial image (e) using WDM. Right: difference of nearfield (c) and aerial image (f) in percent. The cross sections of the computed images are displayed on the top graph of Figure The cross sections are cut along the x direction at y = 0. A perfect agreement can be observed between the Waveguide Method and WDM. A simplified threshold model is applied to compute the CD (critical dimension) from the aerial images. At a threshold indicated by the dashed line, WDM predicts 64.5 nm CD, or 0.5 nm CD compared to the fully rigorous Waveguide Method. In the center graph, the through focus behavior of the performance of WDM is demonstrated by the computed process windows based

76 64 3 Extension of the Waveguide Method for photomask simulation on the aerial images with the threshold model. The threshold-latitude versus depth of focus (DOF) is plotted on the right graph. Both curves show an excellent agreement. (a) Cross section (b) Process window (c) Threshold latitude Figure 3.12: Simulated cross sections (a), process windows (b), and threshold latitudes (c) of the studied mask as described in Figure The results computed with the Waveguide Method are plotted in solid blue line. The results computed with WDM are displayed in dashed red line. Likewise, similar investigations are performed for 45 nm dense CoG mesas, 45 nm dense CoG contacts, 45 nm semi-dense (1:4) CoG mesas, and 65 nm semi-dense (1:4) CoG contacts. The resulting cross sections, process windows, and threshold latitudes are plotted in Figure 3.13, 3.14, and 3.15, respectively. The results of 45 nm dense mesas compared to those of 65 nm dense mesas suggest that the accuracy of WDM gets worse as the feature size becomes smaller. For the 45 nm feature size (< λ/4), WDM overpredicts the intensity of the aerial image (Figure 3.13 (a)). This corresponds to a shift of

77 3.3 Decomposition technique: extension for larger mask simulation 65 the threshold in the process window (Figure 3.14 (a)). However, the threshold latitude presented on the top left of Figure 3.15 shows perfect match with that computed by the Waveguide Method. It means the shape and the focus position of the process windows are well predicted by WDM, but the threshold to size is slightly different. (a) 45 nm dense mesas (b) 45 nm dense contacts (c) 45 nm semi-dense mesas (d) 65 nm semi-dense contacts Figure 3.13: Simulated cross sections of different masks at zero defocus. (a): 45 nm dense CoG mesas. (b): 45 nm dense CoG contacts. (c): 45 nm semi-dense (1:4) CoG mesas. (d): 65 nm semi-dense (1:4) CoG contacts. Both the Waveguide Method (solid blue line) and WDM (dashed red line) are used. The horizontal dashed green line refers to the threshold to produce the desired CD using the Waveguide Method. The accuracy of WDM for contacts is demonstrated to be better than for mesas in the same feature/pitch size condition. Perfect agreement can be observed in the displayed cross section, process window and threshold latitude for 45 nm dense contacts. The results of the semi-dense masks have shown deviations in both cross sections and

78 66 3 Extension of the Waveguide Method for photomask simulation (a) 45 nm dense mesas (b) 45 nm dense contacts (c) 45 nm semi-dense mesas (d) 65 nm semi-dense contacts Figure 3.14: Simulated process windows of different masks using the Waveguide Method and WDM. The mask types are the same as described in Figure process windows. The semi-dense masks with smaller feature size (45 nm) again shows a more pronounced difference, especially in the symmetry of the process window. Correspondingly the only disagreement of threshold latitude is observed for the most critical mask, i.e. 45 nm 1:4 semi-dense mesas Error compensation The difference observed in the demonstrated cross sections behaves like being caused by a normalization effect plus an offset. In the frequency domain, the normalization factor means an over- or underestimation of all orders that enter the entrance pupil. The offset corresponds to a deviation of the 0 th order alone. This behavior prompts the idea of a

79 3.3 Decomposition technique: extension for larger mask simulation 67 (a) 45 nm dense mesas (b) 45 nm dense contacts (c) 45 nm semi-dense mesas (d) 65 nm semi-dense contacts Figure 3.15: Simulated threshold latitudes of different masks using the Waveguide Method and WDM. The mask types are the same as described in Figure simple compensation approach to further increase the accuracy of WDM, as described in equation: I f W DM (x, y) = α I W DM (x, y) + β (3.4) where I W DM (x, y) is the resulting image computed with WDM, I f W DM (x, y) is the compensated image, α is the normalization factor, and β is the offset. Figure 3.16 demonstrates the results of the fitted WDM (f-wdm) for 45 nm dense mesas (top) and 45 nm semi-dense mesas (bottom). In this example, the fitting parameters α and β are obtained from Eq. (3.4) by assuming f f W DM (x, y) as the reference computed with the Waveguide Method at zero defocus. The same α and β values are

80 68 3 Extension of the Waveguide Method for photomask simulation used for different focus positions to compute the process window. The cross sections computed with f-wdm give a perfect match compared with WDM. As shown on the (b), f-wdm also predicts matched process windows for the dense masks. However for the semi-dense case, the process window computed with f-wdm still differs from the reference. This suggests a focus dependency of the fitting parameters. (a) 45 nm dense mesas (b) 45 nm dense mesas (c) 45 nm semi-dense mesas (d) 45 nm semi-dense mesas Figure 3.16: Simulated cross sections (left graphs) and process windows (right graphs) for 45 nm dense mesas and 45 nm semi-dense mesas. The fitting as specified in Eq. (3.4) is applied on the results computed with WDM. The fitted data is plotted in dashed dot line, labeled as f-wdm. In practice, the fitting parameters must be pre-known in order to compensate for the results of WDM. The influence of the mask layout, the material properties, the illumination, and other configuration variables on these parameters needs to be studied. In the examples shown in Figure 3.17, the impact of feature size and pitch on the

81 3.3 Decomposition technique: extension for larger mask simulation 69 fitting parameters is presented. On the left graph, masks with fixed pitches of 180 nm and varying feature sizes from 45 nm to 90 nm are simulated. Both mesa and contact patterns are investigated. The α and β are acquired by using the Waveguide results as the the fitting target. As can be observed, α gets closer to 1 when feature size becomes larger at this fixed pitch. It implies less amount of compensation is required for the computation of larger features with WDM. For contacts, the α values are closer to 1 compared with mesas. The β value on (c) shows the same tendency. On the right graphs, the feature size of the masks is fixed as 45 nm and the pitch is varied from 90 nm to 180 nm. Both mesas and contacts show a complex behavior of α versus pitch. The β value for contacts remains zero for different pitches as well as for different feature sizes. It suggests a normalization alone is sufficient to compensate for the computation of contacts. Figure 3.18 shows the predicted CD error by WDM and f-wdm for the same masks as described in Figure These results demonstrate that the CD error produced by WDM decreases as the feature size gets larger. For a feature size above 65 nm, the error of WDM is reduced to less than 1 nm for both mesas and contacts. In case of fixed feature size at 45 nm, large CDs are observed for all pitches with a swing-like behavior. f-wdm gives almost zero CD for all cases. Nonetheless, the pre-knowledge of the fitting parameters is still challenging due to their unpredictable dependencies on nearly all the mask and illumination variables. A natural idea to further solve this issue is to obtain the fitting parameters from pre-computed templates. Figure 3.19 displays the basic concept. On the top graph, a large mask with elbow layout is to be computed. Cross sections along cut A and B are evaluated. As shown in the right figure, the fitting parameters at cut A and B can be obtained from a corresponding template with a feature decomposed from the elbow. The feature on the template should have the same size and duty ratio along x and y directions. For long contacts as shown on the bottom graph, the fitting parameters at cut A can be acquired from the template of an isolated feature while the template for cut B is dense. Figure 3.20 shows the simulated cross sections of these cuts using f-wdm. The Waveguide Method is used as the reference. The Kirchhoff model is also applied in order to compare the accuracy with that of WDM. The equivalent Kirchhoff mask is a binary mask with a transmission computed as 9 : 2 n sub T r = ( ) 2 (3.5) n sub + n air 9 Fresnel equations for vertical incidence, see [Hec02]

82 70 3 Extension of the Waveguide Method for photomask simulation (a) Factor α (b) Factor α (c) Factor β (d) Factor β Figure 3.17: (a) and (b): fitting parameters versus feature size for masks with a fixed pitch at 180 nm. (c) and (d): fitting parameters versus pitch for masks with a fixed feature size at 45 nm. where n sub is the refractive index of the substrate. WDM shows a very good agreement to the reference. The Kirchhoff model gives over estimated intensities that cannot be simply compensated. As shown on Table 3.2, f-wdm produces the most accurate results with the fitting parameters obtained from the templates. In some cases like cut B of the elbow, the accuracy improvement by f-wdm is less pronounced. It suggests that the cross talk from neighboring features has an impact on the resulting error of WDM which is not considered in the templates as shown in Figure A robust strategy to design the templates and to match them with the target mask is still required for the application of f-wdm.

83 3.3 Decomposition technique: extension for larger mask simulation 71 (a) Varying feature size (b) Varying pitch Figure 3.18: (a): predicted CD error versus feature size for masks with a fixed pitch at 180 nm. (b): predicted CD error versus pitch for masks with a fixed feature size at 45 nm. The α and β values are calibrated for each varying feature size (a) and pitch (b). Figure 3.19: Compute the fitting parameters for f-wdm from precomputed templates with corresponding features. Top: example of elbow. Bottom: example of long contacts. The cuts to be evaluated are displayed as cut A and B on both graphs. Mask pitch: 270 nm, mask feature: 45 nm.

84 72 3 Extension of the Waveguide Method for photomask simulation (a) Elbow cut A (b) Elbow cut B (c) Contact cut A (d) Contact cut B Figure 3.20: Simulated cross sections of the cuts marked in Figure Top: cut A (a) and B (b) of the elbow. Bottom: cut A (c) and B (d) of long contacts. The Waveguide Method is applied as the reference. The results computed using the Kirchhoff model, WDM, and f-wdm are displayed. The quantified error are summarized in Table Dimension dependent accuracy In the last example, the simulation results of WDM show a better accuracy compared with that in Figure The feature size along the cut in these two masks is the same as 45 nm while the feature size perpendicular to the cut is different. The different material distribution along the perpendicular direction induces an impact on the accuracy of WDM. The precise dependency is studied in Figure Long contacts with fixed size (feature x ) along x direction and varying size along y direction (feature y ) are simulated. The Waveguide Method is applied to compute the reference. The cut is performed along

85 3.3 Decomposition technique: extension for larger mask simulation 73 Table 3.2: Computed deviation of cross sections in percent. The deviation is computed as the root mean square (RMS) of difference divided by the maximum value of the reference. Method Elbow Long contacts Cut A Cut B Cut A Cut B Kirchhoff 3.42% 4.26% 12.2% 11.4% WDM 0.33% 0.27% 0.68% 0.20% f-wdm 0.08% 0.26% 0.27% 0.12% x direction at the center (b) and at the edge of the contacts (c). The predicted CD error by WDM versus feature size along x direction is plotted. It can be observed that if feature y is above 140 nm, the CD error at the center is less than 1 nm for different feature x. In the same condition, the CD error at the edge of the contacts is more pronounced than the center. The phenomenon can be understood as follows: the accuracy of WDM is disturbed by the material inhomogeneity, or the edge of long contacts in this simulation. For the cut at the center of the long contacts, the material distribution perpendicular to it is homogeneous within a certain range. As a result, the contacts can be regarded as a 2D line when the cut at the center is computed using WDM. A higher accuracy is therefore achieved. The simulation results suggest that the range of the homogeneity is about 70 nm. The application of WDM on features with perpendicular dimension larger than this range should be sufficiently accurate Discussion WDM reduces the simulation of 3D masks to the computation of a series of decomposed 2D masks. By doing this, the x and y dependencies in the spectrum are decoupled. Therefore the accuracy of the x-y cross orders is sacrificed. The deviation caused by the difference of cross orders is reduced by the imaging process which works as a low pass filter of the spectrum. WDM provides a significant speedup in the simulation of 3D optical and EUV masks. It breaks the limit of of the domain size which can be simulated with the Waveguide Method. Rigorous computation of larger mask areas as part of a full chip becomes feasible using WDM. A detailed investigation of the accuracy of WDM reveals complex dependencies on the feature, the pitch, and other mask/illumination parameters. For feature sizes above 65 nm, WDM produces perfectly matched results. For more critical cases like 45 nm contacts, a deviation of the cross sections as well as of the process windows is observed using WDM. This deviation can be simply compensated by a normalization factor α and

86 74 3 Extension of the Waveguide Method for photomask simulation (a) Long contacts (b) Cut A (c) Cut B Figure 3.21: Simulation of long contacts with fixed feature x and varying feature y. The Waveguide Method is applied as the reference. The cross sections are cut at the center of the contacts (a) and at 10 nm from the edge of the contacts (b). The CD error predicted by WDM versus feature y is plotted for different feature x at 45 nm, 55 nm, and 65 nm. a offset β. A template based concept is proposed to apply the compensation in practice. The investigated feature is isolated from other features on the mask, and matched with precomputed templates with the same feature/pitch sizes. The fitting parameters obtained from the templates are demonstrated to further increase the accuracy of WDM for critical cases. Compared to the conventional Kirchhoff model and the fully rigorous Waveguide Method, WDM takes consideration of the rigorous effects which are completely ignored in the Kirchhoff model. On the other hand, the computation speed of WDM is as competitive to the Kirchhoff model while the speed of Waveguide greatly suffers from a scaling factor of the mask size. Figure 3.22 gives an overview of the performance of these methods. A 1.08 µm by 1.08 µm mask (mask scale) with three long contacts is simulated using the three approaches. WDM predicts a 0.2% image error within 0.6 second, compared to 3600 seconds using the fully rigorous Waveguide Method and a

87 3.3 Decomposition technique: extension for larger mask simulation 75 (a) Waveguide (b) Kirchhoff (c) WDM (d) Comparison Figure 3.22: Simulated aerial image of long contacts using the Waveguide Method (a), the Kirchhoff model (b), and WDM (c). The mask geometry is as specified at the bottom of Figure The image error is computed as the RMS of the intensity difference divided by the maximum intensity value. The performance of these methods is displayed in (d) in terms of image error and computation time. 9.3% image error using the Kirchhoff model. The simultaneous advantages of fast speed and high accuracy make WDM a very potential method that can take place of the conventional Kirchhoff model in applications like OPC for 45 nm node and below. The rigorous EMF effects considered in WDM provide higher accuracy in tuning the OPC models. The complexity of the OPC process can, therefore, be reduced.

88 76 3 Extension of the Waveguide Method for photomask simulation

89 Chapter 4 Extension of the Waveguide Method for wafer simulation This chapter presents the extensions of the Waveguide Method for the rigorous simulation of the topographic layer stack on the wafer (namely WaferWaveguide). An efficient method to compute and store the internal field inside the simulated domain is described in Section 4.2. After that, the optimized interfaces to the image simulation and the resist simulation are explained. In Section 4.5, the concept of a user friendly definition of the wafer geometry is discussed. 4.1 Challenges in the simulation of topographic wafers As the k 1 factor (see definition in Section 1.1.3) is approaching the theoretical limit of 0.25, aggressive lithography techniques such as double patterning techniques (DPT [Gro07, Mae07]), double exposure techniques (DET [Nak04, Nak07]), and even triple patterning [Nik10] become indispensable. The manipulation of the wafer in these novel techniques may introduce a certain topography buried inside the layer stack on the wafer. For example, in a standard litho-etch-litho-etch (LELE) process, the first patterning results in a hardmask which makes the bottom antireflective coating (BARC) layer nonplanar in the second patterning step. In a litho-cure-litho-etch (LCLE, also known as litho-freeze-litho-etch, LFLE) process, the frozen photoresist slightly differs from the second photoresist. This results in an inhomogeneous resist layer in the second patterning step. In the process utilizing a contrast enhancement layer (CEL), the optical material properties of n and k in the CEL varies dynamically during the exposure (bleaching of the photoresist). Similar to the rigorous EMF effects induced by the mask topography, the wafer topography can result in effects such as reflective notching [Tad90], resist footing [Erd03], reduced efficiency of the BARC, and other exposure artifacts [Erd05b]. To investigate such effects, rigorous EMF solvers need to be applied to compute the accurate light diffraction inside the topographic (non-planar) wafers where the transfer matrix method 77

90 78 4 Extension of the Waveguide Method for wafer simulation (TMM) is no longer valid. Figure 4.1 displays a schematic view of the wafer EMF simulation (right) in comparison with the mask EMF simulation (left). The wafer simulation benefits from a reduction of the pitch that less orders are required in the computation 1. However, several challenges remain to be solved in order to extend the Waveguide Method to simulate the wafer: 1. The output of the Waveguide Method is the transmitted and reflected spectrum. For the simulation of the wafer, the result of interest is the light diffraction inside the layer stack on the wafer, e.g. the light intensity distribution in the photoresist (the so called bulk image) or the reflectivity at the top of the BARC. Therefore, an efficient and flexible extension is desired to compute and store the internal spectrum/field inside the layer stack on the wafer without hampering the computation speed of the Waveguide Method. The extension is described in Section Unlike the plane wave illumination of the photomask, the illumination of the wafer from the exit pupil of the imaging system consists of plane waves with a large range of incidence angles with a complex polarization and coherence. Therefore, the computational complexity is significantly increased. Section 4.3 presents an modification of the Waveguide algorithm, in order to compute multiple coherent incidences at one time. 3. The shape and extent of the wafer topography depends on the process of the novel lithography techniques. The topography can be as simple as rectangular hardmasks buried in a BARC layer. In case of a bleachable photoresist or CEL, the bleaching of the material properties induces continuous and dynamically varying topography. Considering this diversity of the wafer topography, an efficient and flexible method to define of the wafer geometry is strongly required. In Section 4.5, a layer-based representation approach with various meshing strategies is proposed. 1 Since the output of interest at the wafer side is the intensity distribution in the photoresist, evanescent waves should be considered as well as the propagating orders. The criteria of the required order number is discussed in Section 4.2

91 4.2 Internal field extraction 79 Figure 4.1: Illustration of mask EMF simulation (left) and wafer EMF simulation (right). The output of interest is highlighted with dashed red rectangles in both cases. 4.2 Internal field extraction Algorithm The electric field distribution E j x and E j y inside the simulated domain is described as (see Eq. (2.51)): Ex j = ic 2N [c j k h exp(αj h (z d j)) + c +j h exp( αj h z)] L M h=1 l= L m= M { [ L k 2 B j l,m,h + M [ ε l n,m p (Φ n + Φ l n )(Bj n,p,h Φ n + D j n,p,h p)] ]} Γ Ψ l,m n= L p= M Ey j = ic 2N [c j k h exp(αj h (z d j)) + c +j h exp( αj h z)] L M (4.1) h=1 l= L m= M { [ L k 2 D j l,m,h + M [ ε l n,m p (Γ p + Γ m p)(b j n,p,h Φ n + D j n,p,h p)] ]} Γ Ψ l,m n= L p= M Note the intermediate matrix W j (l,m),h obtained during the Waveguide computation2 2 If not specified otherwise, the term Waveguide computation refers to the light diffraction computation using the Waveguide Method in this thesis.

92 80 4 Extension of the Waveguide Method for wafer simulation is defined in Eq. (2.57). Eq. (4.1) can be reformulated as: E j x = ic k E j y = ic k 2N h=1 2N h=1 [ [ exp( α j h z) exp( α j h z) exp(αj h (z d j)) exp(αj h (z d j)) ] [ c +j h c j h ] [ c +j h c j h ] L M l= L m= M ] L M l= L m= M W j (l,m),h Ψ l,m W j (l,m)+n,h Ψ l,m (4.2) The spectra of E j x and E j y are computed as: [ E j x,l,m (z) E j y,l,m (z) ] = ic k 2N h=1 [ exp( α j h z) exp(αj h (z d j)) Likewise, the spectra of H j x and H j y can be represented as: [ H j x,l,m (z) H j y,l,m (z) ] = 1 µ 2N h=1 [ exp( α j h z) exp(αj h (z d j)) ] [ c +j h c j h ] [ c +j h c j h To compute the component of E j z, the Maxwell equation is applied: or: ] [ W j (l,m),h W j (l,m)+n,h ] (4.3) ] [ ] V j (l,m),h V j (l,m)+n,h (4.4) H = ikε E (4.5) E j z = 1 ikε j ( Hj y x Hj x y ) (4.6) Note here ε j is also a x and y dependent function. With Eqs. (4.4), (2.30), and (2.43), the expression can be reformulated in the spectrum domain as: where refers to convolution. E j z,l,m = ε j l,m ik (Hj y,l,m Γ m H j x,l,m Φ l) (4.7) Up to now, the spectra of E x, E y and E z can be represented with the variables that are either already known or the intermediate results of the Waveguide computation (see Eqs. (2.67), (2.57), and (2.45)). Once the variables for the j th layer are determined, the spectra of E j x, E j y and E j z at any depth z in the layer can be computed. In order to keep the Waveguide computation as fast as it is, the calculation of the internal field and/or spectra is processed after the Waveguide computation is finished. Alternatively, all the variables required in Eqs. (4.3) and (4.7) are stored. Compared

93 4.2 Internal field extraction 81 to the electric field (denoted as E 2 ) over the depth, the maximum dimension of the stored intermediate variables is much smaller (2N by 2N where N = (2L + 1)(2M + 1)). Moreover, only one set of the intermediate variables is required to store for each layer. With the pre-stored data, the reconstruction of the internal field/spectra becomes independent from the Waveguide computation. Its computational complexity is purely determined by the user s requirement, e.g. the field intensity in the resist layer to compute the photoactive compound (PAC) concentration, or the spectrum at the top of the BARC to compute the reflectivity. Therefore, high efficiency and flexibility are achieved Simulation results At first, the described internal field extraction method is applied to compute the internal field inside an optical mask. Figure 4.2 displays the simulation results of a 2D CoG mask with 50 nm dense lines. The resulting intensity is normalized and compared with the intensity computed by FDTD. A good agreement can be observed between the two methods. The difference is accounted for the ignorance of E x component in the FDTD computation in case of a 2D mask with TE polarization. The validity of the rule of thumb obtained from mask nearfield computation is verified for the computation of internal fields inside masks. Figure 4.3 shows the convergence of the simulated electric field versus Waveguide order number for TE (top) and TM (bottom) polarizations. The same mask as described in Figure 4.3 is computed. The order number specified by the rule of thumb (Eq. (2.79)) is 6. 2 and 5 more orders are also applied. The results for the TE polarization show a perfect agreement independent from the order number. This suggests that the convergence of the computed internal field in TE polarization can still be characterized by the rule of thumb. However for TM polarization, the E 2 differs for different order numbers. The reason can be accounted for the material disparity between air and chrome under the TM illumination. This material discontinuity induces extremely high intensities at the edge of the absorber. These peak intensities together with the dark background inside the absorber result in high frequencies in the spectrum domain. Therefore, more evanescent orders need to be covered in the Waveguide computation in order to represent the field distribution at the material disparity in TM polarization. Figure 4.4 displays the same simulation scheme with more Waveguide orders. The internal fields in homogeneous layer, i.e. the substrate (top) and in inhomogeneous layer, i.e. the absorber layer (bottom) are plotted separately. The same scaling is used for the plots with different order numbers. The E 2 in the homogeneous layer shows a faster convergence speed compared to that in the inhomogeneous layer. The major difference

94 82 4 Extension of the Waveguide Method for wafer simulation (a) Refractive index (b) FDTD (c) Waveguide (d) Difference Figure 4.2: Demonstration of the internal field computation in the Waveguide Method. 50 nm CoG dense lines with TE polarized vertical incidence are simulated. The mask refractive index is plotted on the top left graph (a). The normalized internal field intensity is computed with FDTD (b) and Waveguide (c), respectively. The difference of the results between FDTD and Waveguide is displayed on the bottom right graph (d). of E 2 in the substrate occurs at the boundary between the substrate and the edge of air and chrome. It is contributed by the backward propagating evanescent waves with high frequencies. In the absorber layer, the material disparity induced high intensities at the edge of the absorber can be observed using 60 Waveguide orders, which is 10 the order number specified by the rule of thumb for mask diffraction simulations. The convergence performance is studied in Figure 4.5. The average E 2 error is plotted versus the number of Waveguide orders. The results in the substrate and the absorber layer obtained with 100 orders are used as reference. It can be seen that the homogeneous layer requires only 6 orders to achieve 0.2% E 2 error while the inhomoge-

95 4.2 Internal field extraction 83 (a) Order number: 6 (b) Order number: 12 (c) Order number: 30 (d) Order number: 6 (e) Order number: 12 (f) Order number: 30 Figure 4.3: Simulated E 2 for TE (top) and TM (bottom) polarizations with different Waveguide order numbers. The same mask as in Figure 4.2 is computed. Waveguide order numbers of 6, 12, and 30 are used. neous layer demands 10 more. A detailed investigation on the convergence of RCWA in TM polarization can be found in the publication by Popov [Pop02]. Another example of the internal field computation in topographic wafer is presented in Figure 4.6. The geometry of the topographic wafer is shown on (a). Two beam interference illumination with TE polarization is applied to create interlaced lines. The simulation results using the Waveguide Method are compared with that of FDTD. An excellent match can be observed between the two methods Discussion The presented extension of the Waveguide Method computes the internal field/spectrum based on the intermediate results stored during the Waveguide computation. The requirement of storage in memory or harddisk depends on the order number and the number of inhomogeneous layers to be computed. The simulation results have demon-

96 84 4 Extension of the Waveguide Method for wafer simulation (a) Order number: 10 (b) Order number: 20 (c) Order number: 60 (d) Order number: 10 (e) Order number: 20 (f) Order number: 60 Figure 4.4: Simulated E 2 inside the substrate (top) and the absorber layer (bottom) with different Waveguide order numbers. The same mask as in Figure 4.2 is computed for TM polarization. Waveguide order numbers of 10, 20, and 60 are used. The results for different order number are plotted on the same scale. strated a fast convergence of the internal field/spectrum for TE polarized light. The same Waveguide order number as described by the rule of thumb is required to obtain converging results. For TM polarized light, the material discontinuity at the gap between dielectrical and metallic materials introduces extremely high intensities in a small range. Much more evanescent orders are needed in order to cover the corresponding high frequencies. Since these evanescent waves won t propagate far from where they are stimulated, the convergence of internal field/spectrum in the homogeneous layers is much faster compared to that in the inhomogeneous layers. Simulation results show that the inhomogeneous layers with a material disparity (dielectrical material and metallic material) require 10 more orders than the homogeneous layers to obtain the same accuracy in E 2. Nevertheless, in the emerging generation of DPT and DET, the topography inside the layer stack on the wafer is usually non-metallic. In particular, the resist layer has either a homogeneous material distribution or an inhomogeneous material distribution

97 4.3 Interface to image simulation 85 Figure 4.5: Convergence of the computed E 2 error versus Waveguide order number for TM polarization. The results in the substrate and the absorber layer obtained with 100 orders are used as reference. The average E 2 error is divided by the maximum E 2 values and displayed in percent. The tolerance of 2% E 2 error is indicated with horizontal dashed line. with small differences in optical properties 3. Therefore, the rule of thumb as Eq. (2.79) is still employed in the simulation of topographic wafers with the Waveguide Method. 4.3 Interface to image simulation The interface to couple the output of the imaging simulation to WaferWaveguide is presented in this section. The extended Abbe based imaging simulator Dr.Image 4 is applied to compute the plane waves at the exit pupil. Figure 4.7 gives a schematic view of the exposure process. In this example, the illumination of the mask is two plane waves resulting from the source points A and B. The illumination of the wafer contains two groups of plane waves diffracted by the incident light from A and B, respectively. The plane waves in each group have different incident angles and are coherent with each other. Due to the polarization of the source points, all the plane waves are computed twice for TE and TM polarizations, respectively. As a result, the simulation of the wafer can be quite time consuming due to the numerous Waveguide computations for many incident directions. In order to reduce the computational complexity, the capability of the Waveguide Method to compute multiple incident plane waves at once is explored. The diffraction 3 The inhomogeneity can be caused by the resist bleaching or curing process in LCLE techniques 4 Dr.Image is the image simulation module integrated in the research and development lithography simulator Dr.LiTHO of Fraunhofer IISB. See [Eva09]

98 86 4 Extension of the Waveguide Method for wafer simulation (a) Refractive index (b) Waveguide (c) FDTD Figure 4.6: Simulation of the internal field intensity in a topographic wafer with patterned hardmasks. Two beam interference illumination with TE polarization is applied. Wavelength: 193 nm. The distribution of refractive indices is shown on the top graph (a). The intensities computed with Waveguide (b) and FDTD (c) are displayed on the bottom. Resist material: j, thickness: 120 nm. BARC material: j, thickness: 68 nm. Hardmask material: j, thickness: 30 nm. angles of a 3D grating fulfill: d x nsinθ i cosφ i = m i xλ d y nsinθ i sinφ i = m i yλ (4.8) where d x and d y are the periodicities of the grating along x and y direction, θ i is the incident angle of the i th order, and φ i is the azimuth angle. A direct Waveguide computation with arbitrary θ and φ uses the Fourier basis as described by Eqs. (2.30), (2.30), and (2.32). Assume the incidence angle of θ and φ provides m x = 1 and m y = 1,

99 4.3 Interface to image simulation 87 Figure 4.7: Schematic view of the lithography flow. The beams coming from the source points A and B are plotted in purple solid line and blue dashed line, respectively. the Fourier basis can be rewritten as: Φ l = 2πlb x i k 0 b x λi Γ m = 2πmb y i k 0 b y λi (4.9) with k 0 = 2π/λ: Φ l = 2π(l 1)b x i Γ m = 2π(m 1)b y i (4.10) Compared to the Fourier basis for a vertical incidence, the Fourier basis for the incident plane wave with the diffraction angles of (1, 1) orders is shifted by 1 order along both x and y direction. It suggests in this case, the same results are obtained if the mask is simulated under the assumption of a vertical incidence and a shift in the input AV inc by -1 order accordingly. Likewise, the incident plane wave with diffraction angles of (m, n) orders can be solved as the plane wave with diffraction angles of zero order by shifting AV inc by ( m, n) orders. For the illumination of multiple coherent plane waves that

100 88 4 Extension of the Waveguide Method for wafer simulation fulfill Eq. (4.8), the results can be obtained by the computation of only one incident direction and the modification of AV inc accordingly. In the simulation of the wafer, the incidence from each source point is diffracted by the mask. All diffracted plane waves propagated through the projector still fulfill this grating equation. As a result, only one Waveguide computation is necessary for each source point. The computational complexity is significantly reduced, especially for large masks with many propagating orders. The overall computation time for WaferWaveguide can be summarized as: t = 2 N sp t 0 (4.11) where N sp is the number of source points and t 0 is the runtime of a single Waveguide computation. The linear scaling by the number of source points can be simply reduced by a parallelized computation of each source point as described in Section 5.4. Figure 4.8 demonstrates the simulated bulk image using WaferWaveguide and TMM for planar wafers. A 45 nm dense contact is computed. CQuad illumination with a σ inner of 0.8, a σ outer of 0.98, and an opening angle of 20 is used. The bulk image at the top of the resist on a top view is presented. Identical results are observed using the two methods. The illumination of the wafer includes 32 source points. Each source point generates two beams for both TE and TM polarization. The computation time of the bulk image ( image points) is 43 seconds with TMM and 126 seconds with WaferWaveguide. A further speedup of the WaferWaveguide simulation using distributed computation is discussed in Section 5.4. (a) TMM (b) WaferWaveguide Figure 4.8: Simulated bulk image of 45 nm dense contacts on a top view. The image is extracted at the top of the resist. C-Quad illumination with a σ inner of 0.8, a σ outer of 0.98, and an opening angle of 20 is applied. The results using TMM (a) and WaferWaveguide (b) are presented.

101 4.4 Interface to photoresist simulation Interface to photoresist simulation Exposure simulation of non-bleachable photoresists The output of the WaferWaveguide computation is coupled as the input for the resist simulation 5. The final PAC concentration in case of DNQ (diazo-naphto-quinone) resists or photoacid generator (PAG) concentration in case of chemically amplified resists after exposure is computed as the input for the following resist simulation. In the continuous resist model [Sch08], the local acid concentration of a non-bleachable resist can be directly calculated from the bulk image: [A](x, y, z) = 1 e C dilli(x,y,z)t exposure (4.12) C dill is the sensitivity of the photoresist. I(x, y, z) is the stationary bulk image computed with WaferWaveguide. I(x, y, z)t exposure denotes the cumulated local exposure dose. Figure 4.9 shows an example of rigorous simulations of a topographic wafer. Crossed lines on the top graph are to be patterned using the LCLE process. 45 nm 1:4 semi-dense lines are exposed first. The curing process of the first resist slightly modifies the optical properties of the cured resist. This results in an inhomogeneous photoresist layer for the second exposure. In order to investigate the impact of this property change on the second patterning, a rigorous wafer topography simulation is applied to compute the accurate light diffraction in the second exposure. The simulated bulk image and PAC distribution extracted at the bottom of the resist layer are displayed. Bended contours of the line can be observed on both graphs. The subsequent resist simulation is performed based on the computed PAC. The top view and isometric view of the resist profile are given in Figure More detailed investigations on the impact of resist curing in the LCLE process can be found in Section Exposure simulation of bleachable photoresists For the bleachable resist, the cumulated local exposure dose is computed as the integral of time-variant bulk image I(x, y, z, t) over exposure time: { texposure } [A](x, y, z, t exposure ) = 1 exp C dill I(x, y, z, t)dt 0 (4.13) The instantaneous bulk image I(x, y, z, t) is computed based on the optical properties of the resist at the time t. The bleaching of the resist material is described by the Dill 5 PEB and development modules in Dr.LiTHO

102 90 4 Extension of the Waveguide Method for wafer simulation (a) Crossed lines (b) Intensity (c) PAC Figure 4.9: Simulation example of crossed lines in a litho-cure-litho-etch process. Three periods are shown in (a) on a top view. 45 nm 1:4 semi-dense lines are exposed first. The curing process of the first resist slightly modifies the optical properties of the cured resist. This results in an inhomogeneous photoresist layer in the second litho-etch step. The bulk image (b) and photoacid concentration (c) are computed using WaferWaveguide and plotted on a side view. model [Hen98]: n(x, y, z, t) = n 0 + (1 [A](x, y, z, t))(n bleached n 0 ) k(x, y, z, t) = α(x, y, z, t)λ 4π (4.14) where the absorption coefficient α(x, y, z, t) is given by: α(x, y, z, t) = A dill (1 [A](x, y, z, t)) + B dill (4.15) As can be observed in the equations, the inhomogeneity of n(x, y, z) and k(x, y, z) depends on the bulk image I(x, y, z). In case that the bulk image has transversal patterns, a certain topography is formed inside the resist. The conventional method of TMM can

103 4.4 Interface to photoresist simulation 91 (a) Top view (b) Isometric view Figure 4.10: Simulated resist profiles on a top view (a) and an isometric view (b). The resist simulation is performed based on the PAC computed in Figure 4.9. no longer be applied. There are several approaches to simulate the exposure of bleachable photoresists. The scaled defocus method (SDF [Ber88, Mac89]) computes the bulk image as the multiplication of the aerial image in air versus defocus, with the standing waves in the resist under vertical plane wave illumination. The bleaching of the resist is taken into account in the computation of standing waves. The continuous integral of exposure dose is discretized into a number of dose steps. The extinction k of the material properties and the standing wave patterns in each dose step are dynamically updated according to Eq. (4.14). SDF can acquire sufficient accuracy for the simulation of a reasonable thick (< 5 µm) resist with a small NA (< 0.7) where the difference caused by other incident angles can be ignored. However, for the exposure over topographic wafers in novel lithography techniques, SDF is not applicable. Another approach is the beam propagation method (BPM [Erd96, Erd97]). BPM numerically solves the Helmholtz equation by separating the field amplitude into two waves propagating forward and backward inside the resist. Since the vertical distribution of the resist refractive indices is included in the equations, the bleaching process of the resist can be calculated dynamically as in SDF. BPM is applicable for the simulation of thick resists with pronounced bleaching of the refractive index. Similar to SDF, the accuracy of BPM becomes insufficient for applications with strong index modulation, with pronounced back reflection or with large incident angle. To rigorously compute the bleaching process of photoresists, wafer topography sim-

104 92 4 Extension of the Waveguide Method for wafer simulation ulations must be applied. Figure 4.11 shows the flow chart to rigorously simulate resist bleaching. The integral of the cumulated local exposure dose is approached as a series of dose steps with a given dosage (dose plan). A single computation loop is performed for each dose step. In each loop, the material properties of the layer stack on the wafer are updated based the results from the previous calculation. The bulk image and the cumulated PAC are computed afterwards. The simulation terminates after the specified number of dose steps is achieved. The final PAC distribution is provided for the subsequent resist simulation.update waferstack Maximum dose No resist Waveguide Wafer- Waferstack Initial steps resist Compute intensity Dose plan Finish Yes resist Update material PAC Illumination User Image input distribution PAC simulation simulation Output Resist Figure 4.11: Flow chart of rigorous exposure simulation of bleachable resists. An example of a rigorous bleaching simulation is presented in Figure The exposure of dense line patterns over a bleachable CEL on top of a non-bleachable photoresist with a perfectly matched substrate is computed dose steps with equal dosages are performed. The instantaneous intensity, the accumulative photoacid concentration, and the extinction k at dose steps 1, 20, and 30 are shown. The simulation results show a pronounced bleaching of the extinction and the accumulated PAC in the CEL during the exposure. 6 More details are given in Section 7.2

105 4.5 User-friendly definition of the wafer geometry 93 (a) Dose step: 1 (b) Dose step: 1 (c) Dose step: 1 (d) Dose step: 20 (e) Dose step: 20 (f) Dose step: 20 (g) Dose step: 30 (h) Dose step: 30 (i) Dose step: 30 Figure 4.12: Rigorous simulation of a bleachable CEL on top of a non-bleachable photoresist. Both the CEL and the photoresist have a thickness of 100 nm. Dense line patterns are exposed on the wafer. 30 dose steps are performed. The instantaneous intensity (left graphs), the accumulative photoacid concentration (center graphs), and the extinction k (right graphs) at dose steps 1, 15, and 30 are shown. 4.5 User-friendly definition of the wafer geometry Unlike in the rigorous EMF simulations of masks, the simulation flow and the output for topographic wafers are diverse for different scenarios. For example, in a LELE or LCLE process, the bulk image and PAC are required as the results of exposure over nonplanar wafers. In the investigation of a topographic BARC, the reflectivity of the 0 th

106 94 4 Extension of the Waveguide Method for wafer simulation order or higher at the top of the BARC is extracted. In rigorous bleaching simulation, the local n and k in the bleachable layer must be updated dynamically. In some more complex applications like reversible-cel, the optical properties of the CEL are reset after the exposure while other properties like accumulated PAC are preserved for the next exposure. Due to the modeling complexity of these diverse scenarios, a highly flexible and adaptive wafer definition has to be implemented in order to describe diverse wafer geometries and simulation flows for various applications. A layer-based representation approach is developed to define the layer stacks on the wafers. Figure 4.13 shows the typical use cases of different layer structures that may be encountered in wafer simulation. A homogeneous layer such as a substrate and a BARC is the most common case (denoted as full layer). A topography inside a layer can be modeled as a full layer containing several elements, e.g. rectangles in 2D stacks, cuboid in 3D stacks, etc. For layers with continuously varied material properties such as a bleachable resist and a CEL, grids are a more appropriate model. Layers described by mathematical functions are applied to the topography that can be physically described, e.g. Gaussian-shaped deposition. Figure 4.13: Demonstration of conceivable representations of wafer layers. Figure 4.14 displays the concept of this approach in the diagram of a class view. The user input includes two types of layers with different structures. The layerbox is a container of 2D elements like rectangles, circles, etc. The elements have the same height as the layerbox with assigned materials. The layergrid is based on equidistant grids which can be used to describe a finely resolved topography. Filters manipulate the layergrid by mathematical functions. These two types of layers are transformed to a number of layermeshes with adaptive 2D meshes that are similar to the layer geometry in the Waveguide Method based on rectangles (see Section 3.2). The layer stack on the wafer is finally modeled as a list of these layermeshes, and the Waveguide computation is performed afterwards. Figure 4.15 shows how to model the use case as described in

107 4.5 User-friendly definition of the wafer geometry 95 Figure 4.13 with this proposed approach. Figure 4.14: UML diagram of layer structures in a class view. Figure 4.15: Description of the different layers in the use case with the proposed layer structures. The bottom layer is represented by two separate layers.

108 96 4 Extension of the Waveguide Method for wafer simulation

109 Chapter 5 Distributed computation This chapter presents different approaches to distribute the Waveguide computation of photomasks and wafers. Section 5.2 discusses the method to distribute the computation of layers for both mask and wafer simulations. Section 5.3 proposes the concept of the parallelized Waveguide decomposition method which further scales the computational speed. In Section 5.4, the wafer simulation is distributed by the applied source points in the illumination. The chapter ends with a discussion. 5.1 Motivation and background A distributed computation employs parallel systems to solve the subtasks divided from a computational problem. It has been widely used in scientific computations in biology, medicine, environment, mathematics, physics, and other fields to solve the problems with ever increasing simulation complexity. In lithography, distributed computation is extensively used in optical proximity correction (OPC), source mask optimization (SMO), and other optimizations with heavy computational load. As the technology nodes continue following the ITRS (international technology roadmap for semiconductors) forecast, the consideration of the rigorous EMF effects becomes indispensable in these applications as well as in the wafer simulation for the exploration of emerging lithography techniques. On the other hand, the efficiency of the computation speed in available EMF solvers limits their potential, particularly in 3D simulations. For the full rigorous Waveguide Method, the computation time of 3D masks is scaled by the mask size to the power of 6 (see Eq. (2.80)). The scaling factor is attributed to the computational complexity of solving the characteristic eigen matrix of each layer. Unlike the sparse matrix or Hermitian matrix, the calculation of a dense non-hermitian matrix to obtain all eigen values cannot be simply parallelized. Therefore, the distribution of the full rigorous Waveguide computation is restricted by the process of solving the eigen matrix. Moreover in the 97

110 98 5 Distributed computation Waveguide Method, the computation of one layer depends on the intermediate variables of the previous layer (see Eqs. (2.67) and (2.68)). This results in more intensive data passing between processors. The Waveguide decomposition method (WDM) manages to reduce the computational complexity of 3D masks to that of 2D masks (Eq. (3.3)) with acceptable accuracy loss. However, for extremely large masks as shown in the examples in Figure 3.8 and 3.9, the computation time is still considerably large, especially in comparison with the conventional thin mask model. Since the decomposed masks are independent from each other, a straightforward job distribution strategy can be easily applied to distribute the WDM computation. The parallelization of rigorous wafer simulation is similar to that of WDM. The major computational complexity in wafer simulation is attributed to the numerous incidence directions from the source. As the light source is usually modeled by a number of incoherent source points, the computations over the source points are identical and independent from each other. Therefore, a job distribution strategy can be exploited. All the parallelization approaches in this chapter are implemented based on the communication API of message passing interface (MPI). Since the memory is not shared as in OpenMP (Open Multi-Processing), data exchange is realized as message passing between the processors/computers with extra overhead in communication. The Master- Slave parallel model is employed in all approaches. The master processor prepares the job list and distributes the jobs to slave processors. The slave processors execute their jobs and return the status or results to the master processor. All distributed simulations are performed on the high performance cluster (HPC) of Fraunhofer IISB (see Section 1.4). 5.2 Parallelization of mask/wafer layers Figure 5.1 displays the concept of layer parallelism in the full rigorous Waveguide computation of the mask/wafer. A staircase absorber on the substrate is exemplified. After vertical slicing, the layers are distributed to the slave processors in a sequence. Since the eigen matrix for each layer is independent from each other, the eigen values can be solved in parallel. The intermediate variables such as a j, b j, f j, g j (see Eq. (2.68)) are computed iteratively. The slave processor computing the j th layer sends the intermediate results to the slave processor computing the (j 1) th layer subsequently. The computation of these variables cannot be parallelized in the regime of the proposed Waveguide algorithm. Therefore, the parallelization efficiency of the mask/wafer layers is greatly restricted by the ratio of the non-parallelizable part in the overall computation load.

111 5.2 Parallelization of mask/wafer layers 99 Figure 5.1: Diagram of the Master-Slave parallel model in full rigorous Waveguide computation. The layers of the mask/wafer are distributed to slave processors. The intermediate variables such as a j, b j, f j, g j (see Eq. (2.68)) are computed in each slave and passed to the slave computing the next layer. Figure 5.2 compares the computation time resulting from the sequential simulation and the parallel simulation with 4 slave processors. The staircase absorber as illustrated in Figure 5.1 is computed using 8 8 Waveguide orders. Different numbers of stairs as 8, 10, and 12 are applied. The computation time for the non-parallelizable part is also plotted in red bars. The results show that almost 50% of the overall computation load cannot be parallelized in this approach. This indicates that solving the eigen values in parallel takes comparable time as the other variables that must be computed in sequence. In this case, only few slave processors are required to achieve the maximum speedup. Figure 5.3 studies the parallelization efficiency and speedup versus the number of slave processors. The number of stairs is varied on the right graph with a fixed order number of 8 8. On the right graph, the order number is varied at a fixed stair number of 10. In both cases the efficiency decreases dramatically as more processors are employed. The speedup of the layer parallelism is limited to be less than 1.6 due to the large portion of the non-parallelizable computation. The results indicate that the maximum speedup can be achieved using only 3 slave processors and cannot be increased by employing more processors in the computation.

112 100 5 Distributed computation Figure 5.2: Comparison of the computation time resulting from the sequential and parallelized simulation. The staircase absorber as illustrated in Figure 5.1 is simulated using 8 8 Waveguide orders. Different numbers of stairs as 8, 10, and 12 are applied. 4 slave processors are employed to achieve maximum speedup. The time cost for the computation which cannot be parallelized is also plotted. The unit of the numbers is in second stairs 10 stairs 12 stairs by 6 orders 8 by 8 orders 10 by 10 orders Efficiency Speedup Efficiency Speedup Number of slave processors (a) Fixed order number Number of slave processors (b) Fixed stair number Figure 5.3: Efficiency (solid lines) and speedup (dotted lines) of layer parallelism in a full rigorous Waveguide computation. (a): different numbers of stairs with fixed orders of 8 8. (b): different orders with a fixed stair number at 10.

113 5.3 Parallelization of the Waveguide decomposition method Parallelization of the Waveguide decomposition method Distribution strategy Unlike the parallelization of layers, the Waveguide decomposition method is easy and efficient to be distributed. The algorithm of WDM leads to the simulation of decomposed 2D masks instead of one 3D mask. The computation of these decomposed masks is completely independent from each other. Likewise, the final spectrum is calculated as the summation of the spectrum of each individual mask (Eq. (3.2)) without any dependency on the others. Figure 5.4 shows the concept of the parallelized Waveguide decomposition method (PWDM). A simple job distribution strategy is applied: the master processor prepares the list of the decomposed masks and distributes the IDs to slave processors. The slave processors compute the corresponding masks and return the job status and resulting spectra to the master processor. The master processor receives the spectra and distributes another mask ID to an idle slave processor. The final spectrum is computed on the master processor after all decomposed masks are distributed and computed. Figure 5.4: Diagram of the Master-Slave parallel model in the Waveguide decomposition method. The master processor distributes jobs of decomposed masks to slave processors and collects the results from the slave processors. 6 slaves with respect to the six cuts are illustrated. The jobs of the 1-D cuts are not displayed in this digram but required in the computation.

114 102 5 Distributed computation Simulation results An example mask with a large size and a complex layout as described in Figure 3.8 is simulated using PWDM. The speedup gained from the parallelism and the according efficiencies are plotted versus the number of slave processors in Figure 5.5. The results show that more processors yield a constantly increased speedup for the simulation of both optical and EUV masks. The reason is accounted for the job distribution approach in PWDM that entirely distributes the computation load to slave processors at a minimized cost of the message passing overhead. Only a minor part of the computation load, i.e. synthesization of the final 3D spectrum, has to be preformed in sequence. In principle, the maximum speedup attainable in PWDM is limited by the computation time of a single 2D mask plus the sequential computation and the message passing overhead, provided there are more processors than the decomposed masks Efficiency Speedup Efficiency Speedup Number of slave processors Number of slave processors (a) Optical masks (b) EUV masks Figure 5.5: Parallelization efficiency and speedup of PWDM. The mask described in Figure 3.8 is simulated for optical lithography (a) and EUV lithography (b). The parallelization efficiency is plotted as solid blue line and the speedup is plotted in dashed green line. The computation cost of fully rigorous Waveguide, WDM, and PWDM can be sum- 1 The computation cost of 1-D masks is ignored here.

115 5.4 Parallelization of the wafer simulation 103 marized as: t W aveguide = O(n (2N x + 1) 3 (2N y + 1) 3 ) t W DM = K O(n (2N x + 1) 3 ) t P W DM = K p O(n (2N x + 1) 3 ) (5.1) where N x and N y are the Waveguide orders along x and y dimensions, K is the number of decomposed 2D masks, and p is the number of slave processors. Figure 5.6 gives an comparison of the computation time for the complex mask using these methods. The fully rigorous Waveguide Method requires 2000 days by estimation to solve the optical mask with a size of 8.6 µm by 9.4 µm. WDM manages to reduce the computation time dramatically down to 276 seconds. With parallelism, the computation time of WDM can be further decreased to only 12 seconds or 23 speedup using 47 slave processors. In contrast, the conventional thin mask model requires less than 1 second to simulate this complex mask while yielding much larger error due to the complete ignorance of rigorous EMF effects (see Figure 3.22). The computation time of PWDM, compared with the thin mask model, is still considerably large but acceptable with respect to the much higher accuracy it achieves. Figure 5.6: Comparison of the computation time using different methods. The large mask as described in Figure 3.8 is simulated for both optical and EUV lithography. 5.4 Parallelization of the wafer simulation Distribution strategy The parallelism in the rigorous wafer simulation is very similar to that in WDM. The light intensity distribution inside the layer stack on the wafer is computed as the summa-

116 104 5 Distributed computation tion of the intensities for all the source points in the illumination. Like the decomposed masks in WDM, these source points are totally incoherent and, thus, can be computed independently. A similar job distribution of the source points can be simply applied to parallelize the wafer simulation. Since the computation load of the Fourier transformation from the internal spectra to the field inside the layer stack on the wafer is extremely large, the intensity distribution is computed on the slave processors instead of the master processor. The intensities are summed over source points and returned to the master processor. However, the overhead of message passing becomes an potential issue in this approach. Different from the transmitted/reflected spectra in the mask computation, the dimensions of the intensity distribution inside the resist/wafer are determined by the desired resolution. For example, a typical 90 nm 90 nm 100 nm resist volume at 0.5 nm resolution corresponds to 3.24 million points to represent the bulk image. The memory consumption, as well as the communication of the bulk image between the slave and master processors can grow into a burden or even be a show-stopper in the application of parallelized WaferWaveguide (PWWG) to large wafers with fine resolutions. Under this circumstance, alternatives of message passing of the bulk image are required, e.g. file swap or parallelism on hybrid architectures. Figure 5.7 presents the diagram of the concept in PWWG. Figure 5.7: Diagram of the Master-Slave parallel model in the rigorous wafer simulation using WaferWaveguide. The master processor distributes jobs of the source points to the slave processors and monitors the job status. The intensities are computed and summed on each slave processor. The final results are returned to the master processor after all jobs are finished.

117 5.4 Parallelization of the wafer simulation Simulation results Figure 5.8 studies the parallelization efficiency and the speedup of PWWG. The same layer stack on the wafer and illumination configuration as in Figure 4.8 are simulated. Y parallel 45 nm dense lines are placed on the bottom of the BARC layer as wafer topography (see Figure 5.7). Three different combinations of intensity resolutions and Waveguide orders are computed: (a): 1 nm resolution, 3 3 orders; (b): 0.5 nm resolution, 3 3 orders; (c): 1 nm resolution, 7 7 orders. The dimensions of the required image points are for 1 nm resolution and for 0.5 nm resolution, respectively. The illumination is discretisized into 32 source points with TE and TM polarization, or 64 independent computations. The results show a constantly scaled speedup as more processors are employed in all cases. Higher efficiency and speedup are achieved with a finer resolution. This can be explained as more Fourier transformations are required at 0.5 nm resolution. These transformations can be entirely distributed. As a result, the ratio of the communication overhead and the sequential computation load with respect to the parallelized part is reduced. The results at 7 7 orders show the highest speedup among all. This suggests that the computation of larger wafers can be distributed in a much better efficiency. The theoretically maximum speedup can be achieved when the number of processors exceeds that of the independent computations. The comparison of the computation time for TMM (transfer matrix method), WWG, and PWWG are summarized in Figure 5.9. For 1 nm resolution and 3 3 orders, TMM requires 32 seconds, compared to 126 seconds using WWG and 13 seconds using PWWG with 20 CPUs. At a finer resolution of 0.5 nm, the Fourier transformation from the spectra to the fields result in 530 seconds in TMM simulation. The time cost using WWG is 1485 seconds. With parallelism, the computation time is dramatically reduced to 110 seconds with 32 CPUs. For the simulation of larger wafers using 7 7 orders, the computation time is 1588 seconds using WWG and 68 seconds using PWWG with 32 CPUs. As can be observed, parallelism provides a scaled speedup simply by employing more processors in the computation of WWG. For small wafers requiring only few orders, the topography induced rigorous EMF effects can be computed in a time frame comparable to the conventional TMM approach. For the simulation of larger wafers with numerous source points or bleachable resists that need iterative simulations, the extreme computational complexity can be reduced to be sustainable by PWWG.

118 106 5 Distributed computation Efficiency Speedup Number of slave processors (a) 1 nm resolution, 3 3 orders Efficiency Speedup Efficiency Speedup Number of slave processors Number of slave processors (b) 0.5 nm resolution, 3 3 orders (c) 1 nm resolution, 7 7 orders Figure 5.8: Parallelization efficiency and speedup of PWWG. The same layer stack on the wafer and illumination configuration as in Figure 4.8 are simulated. (a): 1 nm resolution, 3 3 orders. (b): 0.5 nm resolution, 3 3 orders. (c): 1 nm resolution, 7 7 orders. The parallelization efficiency is plotted as solid blue line and the speedup is plotted as dashed green line. 5.5 Discussion Parallelism can be extremely helpful in lithography simulation, particularly at the reduction of the expensive computation load in rigorous EMF simulations. Compared to the conventional simplified approaches, parallelized rigorous simulations can provide a much higher accuracy at comparable computation costs. This chapter provides an overview on the possible parallelization approaches in the

119 5.5 Discussion 107 Figure 5.9: methods. Comparison of the computation time of the exposure on wafers using different rigorous EMF simulation of masks and wafers. The parallelization of the mask/wafer layers is demonstrated to be non-efficient. The reason can be explained as the high ratio of the sequential computation that cannot be distributed. A maximum speedup at around 1.6 is observed in this parallelization approach using 4 processors. Nevertheless, increasing speedup and high efficiency are shown in the parallelization of WDM for mask simulations and WWG for wafer simulations. Both approaches are based on the Master-Slave parallel model that exploits a simple job distribution strategy. The fundamentals behind are the algorithms of WDM and WWG that compute the mask/wafer diffraction as the summation of the results from minor and independent computations. Therefore, the computation of these elements, that is, the decomposed masks in case of WDM or the source points in case of WWG, can be easily distributed to the slave processors at relatively low expense of message passing. The simulation results show an increasing speedup scaled by employing more processors in both parallelism. In principle, the minimum simulation time can be achieved when the number of the employed processors is larger than that of the distributed jobs. However, the overhead in communication, the sequential computation in the master processor, and the idle slave processors after job distribution hamper the parallelization to be faster or more cost efficient. Therefore, a more adaptive parallel architecture and job distribution strategy are desired to pursue the maximum speedup or cost efficiency in future work. Figure 5.10 illustrates the concept of utilizing subsets of slave processors in PWDM to execute computations with different computation load. As shown in the diagram, two sessions/processors are used in order to avoid blocking between different functionalities on the master. One session/processor coordinates the job distribution and monitors the

120 108 5 Distributed computation job status on the slave processors. The other one receives results from slave processors and carries out the remaining sequential computation. The slave processors are discriminated as subsets for horizontal (x) cuts, vertical (y) cuts, and 1-D cuts. The decomposed masks in the same category have the same computational complexity and, thus, require the same computation time. In particular, the 1-D cuts are regarded as homogeneous multilayers that can be computed extremely fast. These 1-D jobs are all delivered to the subset of slave 3-1 and executed simultaneously with the other subsets. As a result, the overhead induced by the 1-D cuts and by the blocking of message passing, and sequential computation on the master are eliminated in this parallel architecture. Hence, higher maximum speedup can be expected. Figure 5.10: Paradigm of a parallel architecture based on subsets of slave processors. Two sessions/processors are used as master. The slave processors are discriminated by cuts with different computation load. In particular, slave 3-1 is applied to compute all the 1-D cuts. A multilevel parallel architecture is proposed in Figure 5.11 for PWWG. Similar to Figure 5.10, two sessions/processors are used to separate the functionalities on the master and slave coordinators. Instead of individual jobs, job packages as sets of source points are delivered to the slave coordinators. They perform as the master processors for the corresponding slave subsets. The intensities are summed on each slave coordinator from the results sent by the slave processors in each subset. Finally, the intensities are sent to the master processor from the coordinators and summed to get the final results. The proposed architecture can help to reduce the potential overhead of intensity passing and summation by distributing the overhead to several coordinators at the second parallel level. Assume the overall overhead based on the original architecture is M O(N) where N is the number of image points and M is the number of source points or independent computations, the optimized overhead in this 3-level architecture can be expressed as (K + M/K) O(N) where K is the number of the applied coordinators.

121 Summed Sets of source intensities points Master 5.5 Discussion 109 However as a tradeoff of pursuing higher maximum speedup, the cost efficiency in this Coordinators Source parallelism slave of gets setsintensity point lower. Slave set 1 Slave set 2 Slave set 3 Figure 5.11: Paradigm of a multi-level parallel architecture for PWWG. Additional processors are used as coordinators between the level of master processors and the level of slave processors. Each slave coordinator functions as a master processor itself, with respect to a set of slave processors. In order to push the fully rigorous Waveguide Method further, especially for the simulation of large masks, approaches of more efficient parallelism have to be devised in future work. One potential possibility is to distribute the expensive numerical operations rather than the computation flow, e.g. matrix multiplication, matrix inversion, eigen matrix solving, and FFT. The parallelism in numerical operations is no longer limited by the sequential computation as the parallelism in layers. The theoretical maximum speedup and efficiency depends on those of the parallelization of the individual numerical operations. As the distributed extensions of the BLAS 2, LAPACK 3 libraries that are currently employed in the implementation of Waveguide, libraries of PBLAS (parallelize BLAS), and ScalLAPACK (scalable parallel LAPACK) are already mature and easy to use. However, the distributed computation of dense non-hermitian matrice is still the most challenging and yet unresolved issue in this approach. Another potential approach is to distribute the Waveguide computation on the hardware. Since 2005, the growing interest in the performance offered by GPUs (graphics 2 Basic Linear Algebra Subprograms (BLAS) is a de facto application programming interface standard for publishing libraries to perform basic linear algebra operations such as vector and matrix multiplication 3 LAPACK (Linear Algebra PACKage) is a software library for numerical linear algebra

122 110 5 Distributed computation processing unit) have shown a great potential to accelerate the computation on GPUs 4. A recent concept is to use a GPGPU (general purpose graphics processing unit) as a modified form of stream processor. This concept turns the massive floating-point computational power of a modern graphics accelerator s shader pipeline into general-purpose computing power. The newly released API extension to the C programming language CUDA (compute unified device architecture) allows specified functions from a normal C program to run on the GPU s stream processors. The GPU based numerical libraries of CUBLAS for BLAS and CULA for LAPACK are already available. In addition to fully rigorous Waveguide simulations, substantial acceleration can be obtained as well in parallelism for other purposes. 4

123 Chapter 6 Application of the Waveguide Method in photomask simulation This chapter presents the application of the Waveguide Method to the simulation of optical and EUV photomasks. In Section 6.1, the rigorous mask topography effects are shortly introduced. Examples of CoG masks, AltPSMs, and CPL masks are studied using the Waveguide Method. The rigorous EMF effects introduced by the masks are observed and demonstrated. In Section 6.2, the application of the Waveguide Method in EUV mask simulations is shown. EUV mask induced aberration-like effects and the impact of multilayer defects on EUV printing are exemplified. The chapter ends with a discussion. The application of WDM for the simulation of large masks can be found in Section Rigorous mask diffraction effects When the mask feature size is comparable to the wavelength, the mask can be regarded as a complex light scattering element diffracting the incident light in the way as described by the Maxwell equations. The real mask diffraction predicted by rigorous EMF solvers, different from that predicted by the Kirchhoff model or thin mask model, introduces important lithographic effects in both optical lithography for the 65 nm node and below, and EUV lithography for smaller nodes. The first EMF simulations of lithographic masks were employed to study the intensity imbalancing effects between the etched and unetched openings in AltPSMs [Won94]. Other rigorous mask diffraction effects (or rigorous EMF effects), e.g. the impact of mask topography and materials on polarization effects [Fla04, Est05, Sat06, Yam06], OPC (optical proximity correction) [Che03, Lee06], printability of defects [Kim00, Pis00], and on the phase of the diffracted light [Erd05b, Erd01a] are also observed and extensively studied using EMF simulations. In EUV lithography, the mask feature sizes are comparatively larger than the wavelength. However, the combination of a thick mask absorber, a thick multilayer blank, 111

124 112 6 Application of the Waveguide Method in photomask simulation and an off-axis illumination introduces a complex feature size and orientation dependent impact on the light diffraction and, consequently, on the lithographic results. Bollepalli [Bol98] reported on an imaging bias between features on dark and bright field masks, and on the orientation dependence of pattern placement, line-widths and sizes of contacts. After that, rigorous effects such as focus dependent placement (telecentricity) errors [Ota00], pronounced focus shifts and asymmetric process windows [Kra01], and through focus printability of EUV multilayer defects [Sug06, Cli10, Moc10] are also observed. Rigorous EMF simulations become indispensable in the exploration of EUV lithography techniques Simulation of alternating phase shift masks The following example demonstrates how the rigorous modeling of the mask diffraction influences the lithographic results, compared to the anticipated effects predicted by the Kirchhoff model. Figure 6.1 displays the mask geometries of a CoG mask or binary mask (BIM) and an AltPSM. Compared to the CoG dense L/S (lines and spaces), the AltPSM alters the transmission phase of the interlaced spaces by etching into the substrate with a certain depth d etch. As given in Eq. (6.1), d etch can be controlled to create a π phase jump between the interlaced spaces according to the Kirchhoff model. This results in a pitch doubling of the L/S in AltPSM. In consequence, the larger pitch corresponds to smaller diffraction angles, or more diffracted orders with respected to the entrance pupil of the projector. As can be seen in Figure 6.1, under the illumination of vertical incidence (a), the 0 th and ±1 st orders diffracted by the AltPSM participate in imaging. In contrast, only the 0 th order of the CoG mask can enter the entrance pupil. This is not sufficient to create a modulated image. d etch = λ 2(n substrate n air ) (6.1) In case of an off-axis illumination with small incidence angle (b), the 0 th and 1 st diffraction orders of the CoG mask are shifted to enter the pupil. The produced image contrast is determined by the amplitude ratio between the two orders. For the AltPSM with a π phase jump, the amplitudes of the 0 th and 2 nd orders are predicted as 0 while the amplitudes of the ±1 st orders are equal according to the Kirchhoff model. Therefore, the AltPSM can produce an image with a better contrast than the CoG mask. This is demonstrated in Figure nm dense L/S of a CoG mask and an AltPSM are simulated using the Kirchhoff model. Two coherent counter-propagating beams with an equivalent σ of 0.3 at a NA of 1.16 are used as the illumination (k 1 = 0.6) 1. It can be 1 AltPSM is usually applied in combination with circular illumination of small σ ( 0.3). The illumi-

125 6.1 Rigorous mask diffraction effects 113 (a) Verticle incidence (b) Oblique incidence Figure 6.1: Schematic geometry of AltPSMs (left) and CoG masks (right) on a side view. The diffracted orders under the illumination of vertical incidence (a) and oblique incidence (b) are illustrated. observed that the L/S on both masks are ideally patterned while the AltPSM results in an image with much more contrast. Figure 6.3 displays the rigorous results simulated using the Waveguide Method. The left graph (a) compares the aerial images of the CoG mask using the rigorous Waveguide Method and Kirchhoff model. The difference is quite small due to the patterning regime at 100 nm feature size or k 1 of 0.6. For the AltPSM as shown on the right graph (b), the rigorous mask diffraction, however, produces a different aerial image compared to the ideal one. The peak amplitudes of the two spaces are imbalanced in the rigorous simulation. This imbalance results in a CD (critical dimension) variation of the space. Besides, the asymmetric line between the two peaks as highlighted with the green circle can cause a placement error of the lines. This phenomenon is already observed in experimental studies (see Figure 6.4). nation of two beam interference is used in this study for demonstration purpose.

126 114 6 Application of the Waveguide Method in photomask simulation CoG (BIM) AltPSM Intensity (a.u.) x (nm) Figure 6.2: Simulated aerial images of 100 nm dense L/S of a CoG mask and an AltPSM using the Kirchhoff model. The etched depth in the AltPSM is given by Eq. (6.1). Illumination of two beam interference with an equivalent σ of 0.3 at NA = 1.16, unpolarized Waveguide Kirchhoff Waveguide Kirchhoff Intensity (a.u.) Intensity (a.u.) Peak imbalance x (nm) (a) CoG mask 0.2 Placement error x (nm) (b) AltPSM Figure 6.3: Simulated aerial images of 100 nm dense L/S of a CoG mask (a) and an AltPSM (b). The results computed from Kirchhoff model and rigorous Waveguide Method are compared. The mask and illumination configuration are given in Figure 6.2. Figure 6.5 studies the impact of the rigorous EMF effects in AltPSMs with dense L/S. The imbalance of image peaks and the induced CD variations ( CD) are simulated versus the linewidths. The linewidths of AltPSMs are varied from 50 nm to 150 nm. The N A of the illumination is adapted according to 0.6λ/linewidth. The peak imbalance

127 6.1 Rigorous mask diffraction effects 115 Figure 6.4: Wafer image of an AltPSM with dense L/S. Picture from [Erd01b]. Imbalance (%) TE TM CD (nm) TE TM Linewidth (nm) (a) Imbalance versus linewidth Linewidth (nm) (b) CD versus linewidth Figure 6.5: Simulated peak imbalance (a) and the resulting CD (b) of AltPSMs with varying linewidths. The CD is computed based on the aerial image using a threshold. CD is computed as the CD of the first peak minus the CD of the second peak (see Figure 6.3). The peak imbalance is computed as the ratio of the difference in peak intensities divided by the maximum peak intensity. Linewidths: nm. Other mask parameters are the same as given in Figure 6.3. Illumination: two beam interference with an equivalent σ of 0.3, NA = 0.6λ/linewidth, TE and TM polarized. is obtained as the ratio of the difference in peak intensities divided by the maximum peak intensity. A threshold model is applied to compute the CD of an image. The

128 116 6 Application of the Waveguide Method in photomask simulation CD is computed as the CD of the first peak minus the CD of the second peak (see Figure 6.3). Results show a different behavior of the CD for TE and TM polarizations. The mask EMF effects are more pronounced for smaller feature sizes, especially for TM polarized light. The same conclusion can be made for the imbalance of peak intensities. Simulations suggest almost 80% peak imbalance at 50 nm linewidth. Therefore extensive optimizations using rigorous EMF solvers are required. The rigorous EMF effects can be explained as follows. The real diffraction orders are not as expected from the prediction using the Kirchhoff model. Figure 6.6 shows the ideal amplitude and phase of the transmitted light from an AltPSM. However, the real transmitted light has a complex intensity and phase distribution inside mask, in the nearfield, and in the far field (see Figure 6.7). Table 6.1 summarizes the amplitude and phase of the 0 th and 1 st diffraction orders computed using the two methods. The Kirchhoff approach produces ideal conjugate +1 st and -1 st orders together with 0 th order of zero amplitude. These orders interfere to form a perfect sinusoidal image. However, the rigorous Waveguide Method indicates that the real +1 st and -1 st orders are not conjugate, but different in phase for both TE and TM polarizations. The 0 th order is also non-zero, but has a certain amplitude and phase. This results in an image with two imbalanced peaks. In order to avoid the CD variation and placement error in AltPSMs, the etch depth, the absorber thickness, and other mask parameters should be concurrently optimized using the rigorous EMF solvers to reduce the imbalance of the peaks (see Section 6.3). Figure 6.6: Geometry of an AltPSM (top) and the ideal transmitted nearfield in amplitude (left) and phase (right) using the Kirchhoff model.

129 6.1 Rigorous mask diffraction effects 117 (a) Intensity distribution (b) Phase distribution Figure 6.7: Simulated distribution of the light intensity (a) and phase (b) inside the mask and in the air. Mask structure as shown in Figure 6.6. Vertical incidence, TE polarized. Table 6.1: Summary of computed diffraction orders in amplitude and phase. Both the Kirchhoff model and the Waveguide Method (WG) are applied. Only the 0 th and 1 st orders are displayed. The amplitude values are normalized to make the 1 st order to be 1. Mask: 100 nm dense L/S of an AltPSM, vertical incidence, TE and TM polarizations. The diffraction orders are extracted below the absorber. Amplitude Phase Order Kirchhoff WG (TE) WG (TM) Simulation of chromeless phase-shift masks The rigorous EMF effects are even more critical for other advanced masks, e.g. CPL masks. CPL masks create wafer features by two neighboring Pi-phase edges. Figure 6.8 shows the geometry of a typical CPL mask with dense L/S. A 100% transmission PSM is achieved by etching the substrate (Quartz) into a certain depth d etch. A similar equation to Eq. (6.1) can be derived to determine the d etch to create the Pi-phase edges. The wafer patterns are formed by the interference of the 0 th and 1 st diffraction orders in case of an off-axis illumination. Figure 6.9 computes a CPL mask with 50 nm dense L/S. Both the Kirchhoff approach and rigorous Waveguide Method are applied. The rigorous method indicates a sinusoidal pattern created by the interference of two neighboring orders. However, the Kirchhoff model is incapable to predict this pattern. It can be explained by the computed phase and amplitude of the 0 th and 1 st diffraction orders as summarized in Table 6.2. The

130 118 6 Application of the Waveguide Method in photomask simulation Figure 6.8: Schematic geometry of a CPL mask with dense L/S on a side view. d etch denotes the etch thickness and w trench refers to the width of the trench after etching. Kirchhoff approach suggests zero amplitude of 0 th order and conjugate +1 st and 1 st diffraction orders. The image must be formed in a three beam interference scheme at larger k 1. Rigorous simulations indicate imbalanced 0 th and 1 st order amplitude. In this situation, an image with a small contrast can be created in the scheme of two beam interference at smaller k 1. The results suggest that in CPL, the Kirchhoff approach can produce completely incorrect images. The rigorous EMF solvers must be applied to help to optimize the mask/illumination parameters, e.g. d etch and w trench, in order to achieve high image contrasts in a two beam interference scheme at lower k Waveguide Kirchhoff Intensity (a.u.) x (nm) Figure 6.9: Simulated aerial images of 50 nm dense L/S of a CPL mask. Both the Kirchhoff approach and rigorous Waveguide Method are applied. w trench = 50 nm, NA = 1.1 (k 1 = 0.29), σ = 0.6/0.9, unpolarized light. The details of rigorous EMF effects for different types of optical masks are discussed by Erdmann et al. [Erd05b]. In particular, the mask induced phase and polarization

131 6.2 EUV masks 119 Table 6.2: Summary of computed diffraction orders in amplitude and phase. Both the Kirchhoff approach and the Waveguide Method (WG) are applied. Only the 0 th and 1 st orders are displayed. The amplitude values are normalized to make the 1 st order to be 1. Mask: 50 nm dense L/S of a CPL mask, w trench = 50 nm, vertical incidence, TE and TM polarizations. The diffraction orders are extracted below the absorber. Amplitude Phase Order Kirchhoff WG (TE) WG (TM) effects for various scenarios are evaluated and presented. These specific effects are not the focus in this thesis. 6.2 EUV masks Simulation of mask induced imaging artifacts The first proposal of EUV lithography aimed to launch EUV at the half-pitch (hp) of 65 nm with an equivalent k 1 around 1.2. This comfort regime simplifies the processing conditions that no OPC or other RETs are required. As the coming introduction of EUV is likely to work at a hp of 22 nm with a NA of 0.3, the resulting k 1 at 0.5 demands a much more stringent requirement on the process. In addition to the similar RETs as in optical lithography, many EUV specific features such as off-axis illumination, thick absorber stack, and multilayer blank can produce very strong impact on the lithographic results. Rigorous EMF simulations are indispensable in the investigation of these effects, in order to push the EUV lithography to manufacturing. Figure 6.10 displays the structure of a typical EUV mask with dense L/S on a side view. The field amplitude and phase distribution inside the mask are simulated using the Waveguide Method and demonstrated in Figure The mask and illumination parameters are as given on Table 6.3. The computed full field distribution on the top graphs show pronounced standing waves in the area beside the absorber caused by the mirror-like functionality of the multilayer below. The computed forward or transmitted field distribution on the bottom graphs shows that the wavefront inside the absorber propagates faster than outside because the absorber refractive index is smaller than that of air. A phase distortion is then formed at the boundary between the absorber and air. This phase deformation is regarded as one dominant reason of some observed imaging artifacts induced by the mask. To quantitatively identify these mask induced imaging artifacts, a direct analysis

132 120 6 Application of the Waveguide Method in photomask simulation Figure 6.10: Illustration of EUV mask geometry on a side view. (a) Amplitude of the full field (b) Phase of the full field (c) Amplitude of the transmitted field (d) Phase of the transmitted field Figure 6.11: Amplitude and phase of the electric field distribution inside the EUV mask. Mask: 50 nm dense L/S. The refractive index is set to be 0.9 to introduce a more pronounced phase distortion. Illumination: 6 incidence, TE polarization. The absorber position is displayed in white dashed rectangles. Only the absorber part and the air above it are shown. Top: full field. Bottom: forward/transmitted field.

133 6.2 EUV masks 121 Table 6.3: The mask properties and illumination configuration for the studies in this section. In some simulations, the absorber thickness and material are varied. The capping layer is not included. Mask Illumination Parameter list absorber chrome: thickness = 80 nm, n = j multilayer 40 double layers of Mo: thickness = 2.74 nm, n = j, and Si: thickness = 4.11 nm, n = j substrate Si source λ = 13.5 nm, σ = 0.5, incidence = 6, unpolarized projection 4x reduction, NA = 0.3 of the intensity and the phase of the diffracted light by rigorous EMF simulations is proposed by Erdmann [Erd05a, Erd09]. This approach employs a Zernike fit of the phase information of the mask diffracted spectrum. The resulting Zernike coefficients are used to help to identify the dominant mask parameters that have strong impact on the lithography results. This section presents examples that extend this approach into the analysis of three dimensional EUV masks. The fully rigorous Waveguide Method is used for dense features. For semi-dense features and complex patterns with larger sizes, the Waveguide decomposition method (WDM) (see Section 3.3) is applied to reduce the computation time. To evaluate the accuracy of WDM in the application of EUV lithography, a more critical mask pattern of 22 nm dense contacts is studied and demonstrated in Figure The diffraction spectrum is computed using the fully rigorous Waveguide Method and WDM, respectively. The aerial images are then computed using the vectorial imaging method. The CDs are extracted from the aerial images based on a simple threshold model. The vertical cross section at x = 0 and the process window along this cut are compared. The cross sections show a very good agreement between these two methods. A small deviation is observed in the comparison of the process windows. However, this difference can be completely neglected in qualitative evaluations of the process windows. Similar agreements can also be found in the simulations of other mask patterns [Eva07]. The computation time is about 2 minutes using the fully rigorous Waveguide Method, and less than 5 seconds using WDM. Figure 6.13 illustrates the Zernike fit of the phase of the diffraction spectrum of a 22 nm 1:4 semi-dense contact. The top graph (a) shows the amplitude distribution of the diffraction spectrum. The main information of the spectrum concentrates around

134 122 6 Application of the Waveguide Method in photomask simulation (a) Cross section (vertical) (b) Process window Figure 6.12: Computed cross sections (a) and process windows (b) of 22 nm dense contacts. The blue solid line shows the simulated results using the rigorous Waveguide Method. The dashed line refers to the Waveguide decomposition method. The cut of the cross section is through the middle of the center point and parallel to y axis (vertical). The mask geometry and parameters are as given in Figure 6.10 and Table 6.3. the x and y axis with higher intensities. The Zernike fit is applied in the following way: The phase of the spectrum on the x-axis (horizontal) and y-axis (vertical) is extracted. Polynomial fits with order 4 are then performed on the horizontal phase and vertical phase, respectively. The Zernike coefficients up to order 12 are analytically obtained from the fitted polynomial coefficients. The raw data of the phase and the polynomial fitted phase are displayed on (b) and (c). The general trend of the phase is well reproduced by the fit. However, compared to the Zernike fit for 2D masks [Erd09], the 3D mask diffraction spectrum has more pronounced fluctuations in the intensity and in the phase. This means more Zernike orders must be covered in order to represent the fluctuations. Moreover, some orders in the spectrum have very low intensity with a large phase jump from the phase of neighboring orders. These orders only carry limited information to participate in the final imaging, but their phase jumps introduce high frequency fluctuations in the extracted phase which are quite difficult to fit. Therefore, the intensity of the diffraction orders should be considered in the Zernike fit of the spectrum phase of 3D EUV masks by an appropriate weighting factor. Figure 6.14 studies the mask induced imaging artifacts in terms of process windows for 22 nm dense contacts (a), 1:4 semi-dense contacts (b), dense mesas (c), and 1:4 semidense mesas (d). The process windows computed along the x axis (horizontal) and y axis (vertical) are presented. Table 6.4 lists the computed best focus and best threshold at a fixed depth of focus (DOF) of 20 nm. The results demonstrate a general best focus shift between the horizontal feature and the vertical feature. The largest deviation among

135 6.2 EUV masks 123 (a) Diffraction spectrum of a 22 nm 1:4 semidense contact (b) Fit of the horizontal phase (c) Fit of the vertical phase Figure 6.13: Amplitude of the diffraction spectrum of a 22 nm 1:4 semi-dense contact (a) and the Zernike fit of the horizontal (b) and vertical (c) phase. The raw data of the phase is shown on the bottom graphs in green dotted line. The fit curve is presented in blue continuous line. The other mask parameters are as given in Table 6.3. all can reach up to 28 nm for dense mesas. Dense mesas also produce the largest best threshold difference and process window tilt. Compared to mesas, the contact features produce less pronounced focus shifts and threshold differences between different feature orientations. The semi-dense patterns show less stringent process conditions than the dense patterns. This phenomenon is consistent to that in optical lithography. Since the only difference between the horizontal feature and the vertical feature in the EUV lithography process is the incidence angle, the observed orientation dependent focus shift and process window tilt can be regarded as imaging aberrations induced by the off axis illumination. This effect cannot be simply diminished by modifying the

136 124 6 Application of the Waveguide Method in photomask simulation (a) 22 nm dense contacts (b) 22 nm 1:4 semi-dense contacts (c) 22 nm dense mesas (d) 22 nm 1:4 semi-dense mesas Figure 6.14: Process windows simulated for different mask patterns: (a) 22 nm dense contacts. (b) 22 nm 1:4 semi-dense contacts. (c) 22 nm dense mesas. (d) 22 nm 1:4 semi-dense mesas. The process windows computed at the cuts along the x axis (horizontal) and y axis (vertical) are presented together by dotted blue lines and solid green lines, respectively. The other mask parameters are as given in Table 6.3. illumination. A feature orientation dependent mask OPC strategy must be devised to compensate the imaging aberrations for different mask patterns. Due to the extreme complexity of the OPC-related investigations, such as parameter studies and multiparameter optimizations, fast and efficient EMF solvers like WDM can be particularly useful for the simulation of different 3D EUV masks. Figure 6.15 presents the investigations of the impact of the absorber thickness (a) and material (b) on the best focus position for two differently oriented features. The other mask parameters are fixed with the values in Table 6.3. The simulation results suggest that the horizontal feature and the vertical feature have consistent behavior

137 6.2 EUV masks 125 Table 6.4: Best focus and best threshold for different mask patterns. The values are computed from the process windows in Figure 6.14 at a fixed depth of focus (DOF) of 20 nm. Mask Best focus x Best focus y Best threshold Best threshold (nm) (nm) x y Dense contact Semi-dense contact Dense mesa Semi-dense mesa over the variation of the absorber properties. The focus shift between the two oriented features can be diminished to be close to 0 by either modifying the absorber thickness (e.g. 85 nm, 97 nm, etc.) or the absorber refractive index (e.g. 0.92, 1.06). The overall simulation time is 15 hours for 200 absorber thickness values and 3 hours for 80 absorber refractive indices on a standard PC (3 GHz CPU, 4 GB memory). The computation time of the rigorous mask diffraction for each sampling point is less than 5 seconds using the Waveguide decomposition method, resulting in an overall simulation time of 2.5 minutes Horizontal (x) Vertical (y) 50 Horizontal (x) Vertical (y) Best focus (nm) Best focus (nm) Absorber thickness (nm) (a) Varying absorber thickness Refractive index (b) Varying absorber material Figure 6.15: Impact of the absorber thickness (a) and refractive index (b) on the best focus position of the horizontal feature (solid line) and the vertical feature (dashed line) for 22 nm dense contacts. WDM is used to compute the rigorous mask diffraction. The best focus is computed at a fixed DOF of 20 nm. The computation time on a standard PC (3G Hz CPU, 4G memory) is 15 hours for (a) with 200 sampling absorber thickness values, and 3 hours for (b) with 80 sampling refractive indices. The other mask parameters are as given in Table 6.3.

138 126 6 Application of the Waveguide Method in photomask simulation Mask induced image artifacts can be also observed in larger EUV masks with complex patterns. Figure 6.16 displays the simulated aerial images and process windows for complex masks with elbow mesas, horizontal line-end mesas, and vertical line-end mesas. The computed mask size is 256 nm 256 nm, with a feature size of 32 nm. The features are extracted along the horizontal and vertical cuts shown in dashed green lines on the aerial images: horizontal line and vertical line for the elbow patterned mask, horizontal space and vertical line for the horizontal line-end, horizontal line and vertical space for the vertical line-end. The process windows for each mask are then computed along the cuts and presented on the right graphs. The best focus position and best threshold for each process window are also calculated at a fixed DOF of 20 nm (see Table 6.5). The simulation results are consistent with the results for contacts/mesas in Table 6.4. Focus shift and tilt of the process window are observed for the same features in different orientations. For example in the elbow patterned mask, both the horizontal and vertical features are lines which produce a focus shift around 17 nm in the process windows. The different features in different orientations seem to produce slightly more focus shift in case of horizontal line-ends (21 nm), compared to 13 nm in case of vertical line-ends. For the same features in the same orientation on different masks, the best focus position is also shifted up to 4 nm (y cut for elbow and horizontal line-end). This suggests that the cross talk between the features in the same mask has to be considered in the mask OPC as well. WDM is applied here to compute the rigorous mask diffraction. The simulation time of the diffraction spectrum is less than 20 seconds compared to an estimated time of several days using the fully rigorous Waveguide Method. This decomposition approach speeds up the overall simulation time of the process windows to 6 minutes for 256 nm 256 nm masks and to 2.5 minutes for 44 nm 44 nm masks with dense contacts/mesas. The simulation results also confirm a complex feature and orientation dependent impact on the process window for the masks with multiple features in different orientations. This suggests extreme difficulties in the OPC process for such kind of masks, due to the expensive computational costs of 6 minutes for each iteration. A possible solution to this challenge is to characterize the impact of the mask parameters by analyzing the Zernike fit of the mask diffracted spectrum. In Figure 6.15, a swing behavior of the best focus position can be observed. This corresponds to the behavior of the defocus and 1 st order astigmatism coefficients for 2D L/S (see [Erd09] for details). This reveals the possibility to measure the impact of the mask properties on the aberration-like imaging artifacts by directly measuring the related Zernike coefficients of the diffraction spectrum. Since an image simulation is not required, the approach of direct Zernike analysis can significantly speedup the overall simulation time

139 6.2 EUV masks 127 (a) Aerial image of elbow (b) Process window of elbow (c) Aerial image of horizontal line-end (d) Process window of horizontal line-end (e) Aerial image of vertical line-end (f) Process window of vertical line-end Figure 6.16: Simulated aerial images (left graphs) and process windows (right graphs) for complex masks with elbow mesas (top), horizontal line-ends mesas (middle), and vertical lineend mesas (bottom). The aerial images are presented on wafer scale. The process windows are computed along the horizontal and vertical cuts shown by dashed green lines. The simulated mask size is 256 nm 256 nm. The linewidth and the line-end gap are 32 nm. The overall simulation time including the process window analysis for each complex mask is 6 minutes. The other mask parameters are as given in Table 6.3.

140 128 6 Application of the Waveguide Method in photomask simulation Table 6.5: Computed best focus positions and best thresholds for the process windows in Figure The DOF is fixed at 20 nm. Note the different feature types (lines/spaces) for different mask patterns and orientations. Mask Best focus x Best focus y Best threshold Best threshold (nm) (nm) x y Elbow 15.4 (line) 32.9 (line) Line-end (horizontal) 16 (space) 37 (line) Line-end (vertical) 16.5 (line) 29.7 (space) (e.g. from 6 minutes to less than 20 seconds in previous study), with the help of rigorous EMF simulations using fully rigorous Waveguide Method for smaller masks and WDM for larger masks. The proposed methodology can lead to a feasible optimization process of the 3D EUV masks for multiple objects. However as already demonstrated in Figure 6.13, an amplitude weighted Zernike fit of the 3D mask diffraction spectrum is still to be implemented. The correlation between the mask-induced aberration like effects and the corresponding Zernike coefficients needs to be closely investigated and verified Simulation of multilayer defects Introduction The influence of EUV mask defects such as absorber footing, surface roughness, and multilayer defects has become increasingly important to lithographers due to the inevitable deficiency of the mask fabrication process. In particular, the defects buried inside the multilayer can cause a strong multilayer deformation which is widely observed in the EUV mask fabrication. Figure 6.17 presents a SEM image of a multilayer defect deposited on top of the substrate. Pronounced deformation throughout the multilayer is observed. Figure 6.18 demonstrates how the multilayer defect influences the printing of EUV masks. On the left graph, the aerial image of 22 nm dense L/S with a multilayer defect below the space is simulated and plotted. The defect induces a bridge between two lines. On the right graph, 22 nm 1:4 semi-dense mesas with a multilayer defect away from the mesa is presented. The defect itself is visible in the aerial image. The results suggest that EUV multilayer defects can introduce a critical impact on the final lithographic results. In previous studies [Eva03, Sam03, Eva04, Ito01, Bes05, Cli09], rigorous EMF simulations are employed to explore the printability of multilayer defects in EUV lithography with different approaches. However, the computation expenses have become a critical issue and even a showstopper in the simulations of

141 6.2 EUV masks 129 Figure 6.17: SEM image of a multilayer defect deposited on top of the substrate. Picture from P. Schiavone, CEA LETI, Grenoble. y (nm) y (nm) x (nm) x (nm) (a) 22 nm dense L/S (b) 22 nm 1:4 semi-dense mesas Figure 6.18: Demonstration of the impact of multilayer defects on 22 nm dense L/S (a) and 22 nm 1:4 semi-dense mesas (b). Illumination: circular, σ = 0.52, NA = 0.3, unpolarized. The features to be printed are illustrated by green rectangles. The defect positions are indicated by green circles. multilayer defects. Many explorations have to be limited to small mask areas with 2D defects (lines) and to the investigation of few defect parameter settings. In this section, the Waveguide Method as well as the Waveguide decomposition method are applied as rigorous EMF solvers. The impact of the defect parameters on the printed CD based on the simulated aerial images is exemplified.

142 130 6 Application of the Waveguide Method in photomask simulation Modeling of the multilayer defect In the process of EUV mask fabrication, the multilayer deposition over defects leads to a planarization of the defect-caused deformation. The planarization spreads the transversal extent of the deformation or shrinks it layer by layer. To characterize this behavior, Ito s compression model [Ito01] is used. This compression model fits the shape of the buried defect with the shape of a hypothetic deformation on the top of the multilayer by the compression of the multilayers in between. In the following simulations, both of these geometries are described with a Gaussian function. Other shapes like circular or cylindrical geometries [Bes05] can also be applied in the defect model. For buried defects, the defect shape can be represented by a Gaussian distribution: z d = h d exp( x2 + y 2 ) (6.2) where z d is the local height of the defect with respect to the surface where the defect is deposited, h d is the maximum height of the defect, and w d is the width of the defect. The size of the defect is specified by the full width at half maximum (FWHM): F W HM d = 2 2 ln 2w d (6.3) Similar formulas are used to describe the shape of the deformation on the top of the multilayer: 2w 2 d z top = h top exp( x2 + y 2 ) 2w 2 top F W HM top = 2 2 ln 2w top (6.4) where the top height h top and F W HM top are the defect parameters of concern. Figure 6.19 shows the cross sections of EUV masks for different defect configurations. The defects illustrated in (a) and (b) are used as the baseline defects for the following simulations in this section. They are denoted as non-planarized defect and planarized defect, respectively. The planarized defect is characterized as h top = 0 that it does not produce any deformation on the top of the multilayer. In (c), (d), (e), and (f), the defect parameters such as defect height h d (F W HM d = h d ), vertical defect position (specified by the number of the layer where the defect is placed), defect top height h top, and defect top F W HM top are varied accordingly. In all cases the layers above the defects are compressed according to Ito s compression model.

143 6.2 EUV masks 131 (a) (b) (c) (d) (e) (f) Figure 6.19: Cross sections of defective EUV masks with different defect configurations. (a): h d = 20 nm, F W HM d = 20 nm, h top = 5 nm, F W HM top = 50 nm. (b): h d = 20 nm, F W HM d = 20 nm, h top = 0 nm. (c): h d = 50 nm, F W HM d = 50 nm, h top = 5 nm, F W HM top = 50 nm. (d): same parameters as (a), the defect is deposited on the 24 th multilayer from the bottom. (e): h d = 20 nm, F W HM d = 20 nm, h top = 10 nm, F W HM top = 50 nm. (f): h d = 20 nm, F W HM d = 20 nm, h top = 5 nm, F W HM top = 100 nm. All layers are compressed according to the compression model. The configurations in (a) and (b) are used as the baseline defects in this section, denoted as non-planarized defect and planarized defect, respectively. The size of the defect parameters are on mask scale Mask and imaging system Figure 6.20 shows the studied mask patterns. The considered size of the periodic mask is 88 nm 88 nm on wafer scale. The simulated features are 22 nm dense lines along the x direction (left) and 22 nm 1:4 semi-dense mesas located at the center (right). The other mask parameters are given as in Table 6.6. A state-of-the-art imaging configuration is used for the simulations. The projection system has a 4x reduction and a NA of The wavelength of the illumination is 13.5 nm with an incidence angle of 6 degree with respect to the normal vector of the mask surface. The plane of incidence is x-z. An unpolarized circular illuminator with

144 132 6 Application of the Waveguide Method in photomask simulation Figure 6.20: Studied mask patterns in this section. Mask size: 88 nm 88 nm. Left: 22 nm dense L/S along the x direction. Two periods are shown. Right: a 22 nm semi-dense (1:4) mesa located at the center of the mask. Table 6.6: Summary of the major parameters of EUV mask, defect, and imaging system applied in the following simulations. Mask Illumination Defect Parameter list absorber chrome: thickness = 80 nm, n= j Multilayer 40 double layers of Mo: thickness = 2.74 nm, n= j, and Si: thickness = 4.11 nm, n= j substrate Si source λ = 13.5 nm, σ = 0.52, incidence = 6, unpolarized projection 4x reduction, N A = 0.35 non-planarized h d = 20 nm, F W HM d = 20 nm, h top = 5 nm, F W HM top = 50 nm planarized h d = 20 nm, F W HM d = 20 nm, h top = 0 nm, F W HM top = 0 nm σ = 0.52 is used. The aerial image is computed at the nominal best focus position. A simple threshold model is applied to compute the printed CD from the aerial image. The major defect, mask, and imaging parameters are summarized in Table Simulation results Impact of the defect position Both dense L/S and semi-dense mesas are simulated. If not specified otherwise, the defect position is always specified by relative defect coordinates. The origin of the relative defect coordinates is at the center of the upper line (dense lines, see Figure 6.21) or at the center of the mesa (semi-dense mesas, see Figure 6.22), respectively. The position of the defect refers to the distance of the defect from the center of the

145 6.2 EUV masks 133 corresponding mask feature. For the dense lines, the x coordinate of the defect position is kept constant at x = 0 nm. The y coordinate is varied from 0 nm to 44 nm with respect to the center of the upper line. For the semi-dense mesas, both the x and y coordinates of the defect are varied from 0 nm to 44 nm simultaneously. All coordinates are given on wafer scale. The CD variation CD is computed from the CD with a defect minus the CD without a defect. Figure 6.21 displays the simulated aerial images of dense lines with non-planarized defects (upper row) and planarized defects (lower row) at different positions. The asymmetry along x direction of the aerial images results from the tilt of the EUV illumination. The non-planarized defect has a significantly larger impact on the resulting image. In both cases, the impact of the defect becomes increasingly larger when it is placed at the center of the space between two absorber lines. In the most critical case (c) the defect prints as a bridge between the two neighboring lines y (nm) y (nm) y (nm) x (nm) x (nm) x (nm) (a) At the center of the line (b) At the border of the line (c) At the center of the space y (nm) x (nm) y (nm) x (nm) y (nm) x (nm) (d) At the center of the line (e) At the border of the line (f) At the center of the space Figure 6.21: Simulated aerial images of dense lines with defects at different positions. The defect position is specified by x = 0 and the (wafer scale) distance from the center of the upper line. (a), (b), and (c): non-planarized defect at position: 0, 5, and 22 nm, respectively. (d), (e), and (f): planarized defect at position: 0, 5, and 22 nm, respectively. The lines to be printed are illustrated by rectangles in the aerial images. The defect positions are indicated by circles.

146 134 6 Application of the Waveguide Method in photomask simulation Figure 6.22 presents the simulated aerial images for semi-dense mesas. Both of the non-planarized defect (upper row) and planarized defect (lower row) are investigated. As the defect is moved away from the center of the mesa, it shows an increasing impact on the shape of the resulting image. For a non-planarized defect at a position of 30 nm (wafer scale), the defect itself is printed as a separate feature. Similar to the observations for the dense lines, the planarized defect is less critical, but still shows a certain impact on the resulting aerial image. y (nm) y (nm) y (nm) x (nm) x (nm) x (nm) 0.1 (a) At the center of the mesa (b) At the border of the mesa (c) Away from the mesa y (nm) y (nm) y (nm) x (nm) x (nm) x (nm) 0.1 (d) At the center of the mesa (e) At the border of the mesa (f) Away from the mesa Figure 6.22: Simulated aerial images of semi-dense mesas with defects at different positions. The x and y coordinates of the defect position are identical. (a), (b), and (c): non-planarized defect at position of 0, 15, and 30 nm, respectively. (d), (e), and (f): planarized defect at position of 0, 15, and 30 nm, respectively. All position values are on wafer scale, with respect to the center of the mesa. The mesas to be printed are illustrated by rectangles. The defect positions are indicated by circles. Figure 6.23 shows the simulated defect-induced CD variation versus the defect position for dense lines (a) and semi-dense mesas (b). As shown in (a), the CD produced by the non-planarized defect (labeled: with top deformation) rises sharply compared to that of the planarized defect (labeled: without top deformation). For a defect position of more than 15 nm from the center of the line, the non-planarized defect is printed and

147 6.2 EUV masks with top deformation without top deformation 10 8 with top deformation without top deformation CD (nm) Defect position (nm) CD (nm) Defect position (nm) (a) Dense lines (b) Semi-dense mesas Figure 6.23: Simulated variation of CD versus defect position (wafer scale). (a): dense lines. (b): semi-dense mesas. The results of non-planarized defect (labeled: with top deformation) are displayed in blue rectangle marked line, and the results of planarized defect (labeled: without top deformation) are plotted in red circle marked line. If not specified otherwise, the same labels and patterns are used in the following figures. bridges the two neighboring lines. The planarized defect produces less than 3 nm CD at the same defect position. A similar behavior is observed in the simulation results for the semi-dense mesas. Although the CD caused by the non-planarized defect drops down after 15 nm, the defect itself is printed separately for defect positions larger than 25 nm. Impact of the defect top height and FWHM Figure 6.24 presents the simulated impact of the defect top height (a) and FWHM (b) on the printed CD. A mask with dense lines is simulated. The defect is located 5 nm from the center of the line. The impact of the defect grows both with increasing top height and with increasing F W HM. Impact of the number of compressed layers The influence of the number of compressed layers in Ito s compression model is also studied. The mask with dense L/S is simulated. The impact of the defect position on the printed CD is simulated for 20 compressed layers and for a compression of all layers, respectively. The results are shown in Figure The compression of the defect within 20 layers and the correspondingly planarized upper part of the multilayer render the defect almost invisible in the planarized case (Figure 6.25 (b)). In contrast to that,

148 136 6 Application of the Waveguide Method in photomask simulation CD (nm) CD (nm) Defect top height (nm) (a) CD versus defect top height Defect top FWHM (nm) (b) CD versus defect top FWHM Figure 6.24: (a): simulated CD variation versus defect top height, defect top FWHM = 50 nm. Top height at 0 nm refers to a planarized defect. (b): simulated CD variation versus defect FWHM, defect top height = 5 nm. the defect compression with 20 layers increases the printability of non-planarized defects (Figure 6.25 (a)). This can be explained as follows: According to the assumed simulation parameters, the top deformation has a larger F W HM than the defect s F W HM. Less compression layers produce even more pronounced deformation of the layers close to the top. This suggests that the influence of the number of compressed layers in the compression model is very sensitive to the combination of the other defect parameters. Impact of the absorber refractive index Figure 6.26 demonstrates the simulated influence of the absorber refractive index n on the defect-induced CD. The mask with dense L/S is simulated. The defect is located 5 nm from the center of the line. The refractive index of the absorber is varied from 0.9 to 1.1. For each absorber n, the image threshold is calibrated in order to produce the target CD for the defect-free mask. This corresponds to the flat line CD = 0 nm (black continuous line) for the mask without defect. For the planarized defect, the defect-induced CD decreases as the refractive index gets larger. The curve for the non-planarized defect shows the similar trend. However, a pronounced oscillation is observed which includes CD values below 0 nm. The results from Figure 6.26 suggest that using a high-n absorber material can help to reduce the impact of the defect for the simulated configuration. For example, if the absorber has a refractive index of , the planarized defect only produces 0.5 nm 2 Such materials with refractive indices higher than 1 are very rare in EUV lithography. For example,

149 6.2 EUV masks 137 CD (nm) all layers compressed 20 layers compressed CD (nm) all layers compressed 20 layers compressed Defect position (nm) (a) Non-planarized defect Defect position (nm) (b) Planarized defect Figure 6.25: Influence of the number of compressed layers on the defect induced CD variation. (a): non-planarized defect. (b): planarized defect. The results are obtained for 82 compressed layers (solid line) and 20 compressed layers (dashed line), respectively. CD (nm) without defect non hidden defect hidden defect Refractive index Figure 6.26: Influence of the absorber refractive index on the defect induced CD variation. For each refractive index, the image threshold is calibrated to produce the target CD with a defect-free mask. CD difference and the non-planarized defect has virtually no impact on the printed CD. Figure 6.27 displays the behavior of CD versus the defect position for different aluminum has a refractive index about at the wavelength of 13.5 nm.

150 138 6 Application of the Waveguide Method in photomask simulation absorber n. An improvement in CD is observed for nearly all the defect positions when n = 1.05 and However, a modification of the refractive index of the absorber also has a strong impact on the through focus imaging performance [Erd09]. More extensive simulations are required to investigate the defect printing for all imaging conditions. CD (nm) absorber: n=0.94 absorber: n=1.05 absorber: n= Defect position (nm) (a) Non-planarized defect CD (nm) absorber: n= absorber: n=1.05 absorber: n= Defect position (nm) (b) Planarized defect Figure 6.27: Simulated CD variation versus defect position (mask scale) for different absorber refractive indices, i.e. 0.94, 1.05, and 1.1. (a): non-planarized defect. (b): planarized defect. Impact of the absorber thickness The influence of the absorber thickness on the defect-induced CD variation is investigated in Figure A mask with dense lines is simulated. The defect is located 5 nm from the center of the line. The two baseline defects, a non-planarized defect with 50 nm defect height and F W HM, and a non-planarized defect with 10 nm top height and 80 nm top F W HM are investigated. Similar to the last example, the image threshold is calibrated to produce the target CD for a defect-free mask for each individual absorber thickness. According to Figure 6.28, the dependence of CD versus absorber thickness is periodic and synchronized for all the simulated defect configurations. The periodicity is about 7 nm. The improvement of CD gained by selecting a proper absorber thickness (e.g. 90 nm) is about 1 nm. In Figure 6.29, the CD versus defect position is simulated for the worst (80 nm) and optimum (90 nm) absorber thickness. The CD is reduced by 1 nm for all defect positions simply by using 90 nm thick absorbers. This result has to be verified for other focus conditions.

151 6.2 EUV masks CD (nm) without defect defect type A defect type B defect type C defect type D Absorber thickness (nm) Figure 6.28: Influence of the absorber thickness on the defect induced CD variation for different defect configurations. Defect type A: baseline non-planarized defect. Type B: baseline planarized defect. Type C: baseline non-planarized defect, defect size = 50 nm. Type D: baseline nonplanarized defect, defect top size = 10 nm, top FWHM = 80 nm. The observed periodic defect printing performance can be contributed both to contrast and best focus variation of the image of the defect free mask versus the absorber thickness. The contrast variation results from the interference of the reflected light from the top of the absorber and of the reflected light from the multilayer [Bes05]. Best focus variations can be attributed to the phase deformation of the light in the vicinity of the absorber edge [Erd09]. The printability of a defect depends on the contrast of the main features and on the relative best focus of the main features and the defect, respectively. Extensive simulation studies are required to investigate the defect printing for all imaging conditions and to identify the most appropriate absorber parameters. Comparison with other models A 3D multilayer defect introduces pronounced deformation of the multilayer which results in numerous inhomogeneous layers in a Waveguide computation. As indicated by Eq. (2.80), the simulation of a typical EUV mask with multilayer defects can be extremely time consuming, e.g several days. This highlights the importance of simplified defect simulation approaches with potentially shorter computation times. One prominent method is the RADICAL (rapid absorber defect interaction computation for advanced lithography) simulator proposed by C.Clifford [Cli10]. RADICAL uses ray

152 140 6 Application of the Waveguide Method in photomask simulation CD (nm) absorber: 80nm absorber: 90nm Intensity (a.u.) with defect, 80nm with defect, 90nm without defect, 80nm without defect, 90nm Defect position (nm) (a) CD versus defect position y (nm) (b) Cross sections of the aerial images Figure 6.29: (a): simulated CD variation versus the position of the planarized defect for two different absorber thickness values. (b): cross sections of the aerial images for a defective mask with 80 nm absorber thickness (rectangle marked line) and with 90 nm absorber thickness (circle marked line), defect-free mask with 80 nm absorber thickness (continuous line) and with 90 nm absorber thickness (dashed line). tracing for the computation of the defective multilayer and thin mask model for the absorber part. In the following text, two additional approaches are presented, namely an analytical local Fresnel model and WDM. The first proposed alternative is a hybrid model which computes the multilayer part by a local application of analytical Fresnel-equations and the mask absorber part with an EMF solver, respectively. This algorithm can be described in four steps: First, the diffraction of the incident light from the absorber is computed by the EMF solver. Next, the diffracted light directly below the absorber is decomposed into plane waves. Third, the complex reflectivities for all incident planes waves are computed by a local application of the analytical Fresnel method. Finally, the waves are coupled back into the simulation area for the EMF solver. The mask nearfield is retrieved from the superposition of the diffracted downward propagating incident light and of the diffracted upward propagating reflected light. The details of this method are described in the paper [Eva04]. A defective multilayer blank without an absorber is studied as an example. Figures 6.30 and 6.31 present a comparison between the results of the fully rigorous Waveguide Method and of the analytical local Fresnel model. The simplifications of the analytical model result in considerable deviations from the reference result of the rigorous Waveguide Method. The agreement between the analytical and rigorous method can be

153 6.2 EUV masks 141 (a) Planarized defect (b) Non-planarized defect Figure 6.30: Simulated aerial image cross sections of two dimensional defects (line defects). (a): planarized substrate defect with a size of 30 nm. (b): non-planarized substrate defect with a size of 20 nm. All computations are performed with the fully rigorous Waveguide Method and with an analytical model, respectively. The analytical model is significantly faster (4 to 5 times faster than the fully rigorous Waveguide simulation) but an additional calibration is required. improved by a parameter calibration of the analytical model such as effective incidence angle and thickness values. The simulation time of the analytical model is considerably shorter than that of the fully rigorous Waveguide Method. Two typical two-dimensional defect simulations are presented in Figure 6.30 (line defects). The left graph (a) shows the aerial image cross section of a planarized substrate defect with a size of 30 nm. The right graph (b) shows a non-planarized substrate defect with a size of 20 nm. The gray curves show the rigorous Waveguide results serving as reference. The results suggest good agreement between the rigorous Waveguide Method and the analytical local Fresnel model with calibration, especially for planarized defects (a). The simulation times of the fully rigorous Waveguide computations are 30 seconds for the planarized defect and 36 seconds for the non-planarized defect. The analytical computations take 6 seconds for the planarized defect and 9 seconds for the non-planarized defect respectively. Figure 6.31 presents a comparison of the aerial images for a three dimensional planarized substrate defect with a size of 30 nm. The bottom pictures show the Waveguide results (b) and the analytical results (c). The analytical results are obtained with the calibrated model parameters of the 2D defect. The corresponding x-parallel cross sections of the aerial images at y = 150 nm are shown on the top. The gray curve presents the rigorous Waveguide result serving as reference. The black curve shows the analytical result obtained with the calibrated model parameters. As in the two dimensional case a good agreement can be observed. The simulation time of the fully rigorous Waveguide

154 142 6 Application of the Waveguide Method in photomask simulation (a) Cross section at y = 150 nm (b) Aerial image using the Waveguide Method (c) Aerial image using the analytical model Figure 6.31: Three dimensional defect simulations. (b): aerial image of a planarized substrate defect with a size of 30 nm, computed with the rigorous Waveguide Method. (c): same defect computed with the calibrated analytical model. (a): x-parallel cross sections of the aerial images at y = 150 nm. The gray curve presents the rigorous Waveguide result, the black curve the analytical result. The analytical model is significantly faster (about 63 times faster than the fully rigorous Waveguide simulation), but an additional calibration procedure is required. computation is 9.1 hours and of the analytical computation 8.6 minutes, respectively. The simulations suggest that the analytical local Fresnel model can be much faster than the rigorous Waveguide Method with considerably good agreement in results. However, the analytical local Fresnel model requires additional calibration parameters which are normally pattern and process dependent. So far the presented simulations are for mask blanks. Additional computation of the absorber part using an EMF solver reduces the performance of this approach. The second comparison is performed between the fully rigorous Waveguide Method

155 6.3 Rigorous mask optimization 143 and WDM. Figure 6.32 presents the results for a non-planarized defect in the vicinity of a semi-dense mesa. The position of the defect is 15 nm from the center of the mesa corresponding to the center column on Figure The difference between the aerial images obtained with the models is shown on graph (a). The maximum magnitude of this difference is about 4% with respect to the largest intensity value of the aerial image obtained with the rigorous Waveguide Method. The other graphs of Figure 6.32 show extracted y-parallel cross sections at three different x-positions. These cross sections are computed for 3 different cases: without defect and fully rigorous Waveguide Method (labeled as no defect ), with defect and fully rigorous Waveguide Method (labeled as full Waveguide ), with defect and WDM (labeled as decomposition ). On graph (b) at x = 0, the fully rigorous Waveguide and WDM predict a CD variation of 8.2 nm and 8.3 nm, respectively. Graph (c) presents the cross sections at x = 19 nm which corresponds to the largest intensity difference. A good agreement of the cross sections computed by the two models is observed. The largest difference occur at large intensity values which are far away from the threshold. Therefore, the differences are not critical for the printing behavior of the defect. The largest impact of the defect can be seen at x = 10 nm on graph (d). The CD predicted by the two models is 0.8 nm only. The simulations are performed on a high performance cluster with 3 GHz CPUs and 4 GB memory for each node. The computation time for the fully rigorous Waveguide Method is 9.5 hours on a single CPU, compared to 4 minutes on 27 CPUs for WDM, or a 140 speedup. 6.3 Rigorous mask optimization As shown in the previous sections, the rigorous EMF effects become more and more critical as the printed features get smaller. These effects can be considered and compensated during the design of masks by rigorous optimizations or OPCs. The extreme computational load in the optimizations of multi-parameters/objects and OPC processes put a harsh requirement on the performance of the applied rigorous EMF solvers. For AltPSMs, the rigorous EMF effects can be accounted for the phase difference between the 0 th and 1 st orders, as well as between the +1 st and 1 st orders. The following simulations demonstrate the optimization of the phase of the diffraction orders to reduce the imbalance of the peak intensities and the printed CD variation between the two interlaced spaces. Figure 6.33 displays the mask geometry of 100 nm dense L/S. The spaces without a phase shift are also etched. Three variables, namely the absorber thickness (h ab ) and the interlaced etch thicknesses (h 1, h 2 ) are selected as the optimization variables. The objective of the optimization is to pursue minimum phase

156 144 6 Application of the Waveguide Method in photomask simulation y (nm) B A C x (nm) (a) Intensity difference (%) Intensity (a.u.) no defect with defect: full Waveguide with defect: decomposition CD=0.1 nm y (nm) (b) Cut A Intensity (a.u.) no defect with defect: full Waveguide with defect: decomposition No CD Intensity (a.u.) no defect with defect: full Waveguide with defect: decomposition y (nm) (c) Cut B CD=0.8 nm y (nm) (d) Cut C Figure 6.32: (a): intensity difference between the aerial images computed using the fully rigorous Waveguide Method and WDM, respectively. The configuration of the defect is the same as shown in Figure 6.22(b). (b): cross section along y direction at x = 0 nm. The results from defect-free mask (black solid line), results from defective mask computed by the fully rigorous Waveguide Method (blue dashed line) and by WDM (red dashed line with symbols) are presented together. The horizontal green line indicates the image threshold to produce the target CD for the defect-free mask. (c): cross section at x = -19 nm. (d): cross section at x = 10 nm. error defined as: error = ( ϕ 1 ϕ 0 π 2 )2 + ( ϕ 1 ϕ 0 π 2 )2 (6.5)

157 6.3 Rigorous mask optimization 145 where ϕ m is the phase of the m th diffracted order. A genetic algorithm (GinGa from Dr.LiTHO [Fue07]) is employed as the optimization method. Figure 6.33: AltPSM geometry of 100 nm dense L/S to be optimized. The spaces without a phase shift are also etched. The three optimized variables, namely the absorber thickness (h ab ) and the interlaced etch thicknesses (h 1, h 2 ) are labeled. Figure 6.34 shows the optimization results in terms of aerial images for the L/S patterns. The same illumination configuration as in Figure 6.2 is used with TE polarized light. The aerial image without optimization (labeled as No opt ) shows imbalanced peaks. The first optimized parameter setting (labeled as Opt1 ), i.e. h 1 = 238 nm, h 2 = 91 nm, h ab = 41 nm, gives a imperfectly balanced image compared to the non-optimized curve. The second optimized parameter setting (labeled as Opt2 ), i.e. h 1 = 308 nm, h 2 = 161 nm, h ab = 42 nm, produces a well balanced image compared to the others. A quantitative analysis in terms of image imbalance and CD (see the definition in Figure 6.5) is given in Table 6.7. Opt2 gives the best results of only 1.4 nm CD at 100 nm linewidth and 3.2% in peak difference, compared to 22.9 nm and 12.5% without optimization. The first optimization Opt1 does not provide results as expected. It can be explained as follows: the minimum phase error as in Eq. (6.5) is not sufficient to achieve balanced three beam interference. The phase of the +1 st and 1 st orders should also be as conjugate as possible, or minimum ϕ 1 + ϕ +1 should also be selected as the merit function in optimization. The two constraints ends up with the final optimization objectives of phase values, i.e. ±π/2 for the ±1 st orders and 0 for the 0 th order, respectively. The resulting phase values in settings of Opt2 agree with the objectives. The optimization is performed on a high performance cluster with 3 GHz CPUs and 4 GB memory for each node. Four nodes are used. 150 individuals are evaluated concurrently. 200 generations are evolved in 48 minutes using 8 CPUs.

158 146 6 Application of the Waveguide Method in photomask simulation Intensity (a.u.) No opt Opt1 Opt x (nm) Figure 6.34: Optimized aerial images at different settings. The mask geometry is described in Figure The same illumination configuration as in Figure 6.2 is used with TE polarized light. No opt : without optimization, Opt1 : h 1 = 238 nm, h 2 = 91 nm, h ab = 41 nm, Opt2 : h 1 = 308 nm, h 2 = 161 nm, h ab = 42 nm. Table 6.7: Summary of computed diffraction orders in amplitude and phase. Only the 0 th and 1 st orders using the Kirchhoff approach and the Waveguide Method at different mask settings are displayed. The amplitude values are normalized to make the 1 st order to be 1. Mask: 100 nm dense L/S of an AltPSM, vertical incidence, TE and TM polarizations. The diffraction orders are extracted below the absorber. The mask settings are described as in Figure Amplitude Phase Performance Order Imbalance CD Kirchhoff No opt % nm Opt % nm Opt % 1.4 nm 6.4 Discussion In this chapter, the potential of the rigorous Waveguide Method as well as of WDM is demonstrated to have promising capabilities in the simulation of optical and EUV masks. In Section 6.1, the rigorous EMF effects occurring in different types of advanced optical masks, i.e. CoG (binary) masks, AltPSMs, and CPL masks, are exemplified and investigated. The Kirchhoff approach can no longer sustain accurate if the features sizes get smaller and the phase and polarization effects are considered. Rigorous EMF solvers become indispensable. The EUV masks are studied in Section 6.2. The off-axis illumination and the thick

159 6.4 Discussion 147 mask stack result in specific imaging aberrations similar to the aberrations produced by projection lenses in the EUV lithography process. The effects related to lower Zernike orders like oblique illumination induced shadowing effects which can be compensated using existing OPC tools with minor modifications [Kan08]. The mask produced Zernike type abberations such as defocus, first and second order astigmatism, and spherical aberrations can induce a complex feature and orientation dependent impact on the final lithographic results. Pronounced best focus shift, best threshold deviation, and process window tilt are widely observed for different simulated masks in Section 6.2. A feature and orientation specific OPC strategy must be devised to compensate these effects with the help of rigorous EMF simulations. The rigorous modeling of 3D mask diffraction and imaging can be rather expensive concerning the extreme number of iterations in an OPC process. Therefore, a mask diffraction analysis using the Zernike fitting is proposed in combination with WDM. The proposed method can help lithographers to identify the induced aberration like effects of larger masks based on the phase of the diffraction spectrum, at much smaller computation costs. However, the applications still rely on future work of an amplitude weighted Zernike fit for the diffraction spectrum produced by the 3D EUV masks. This extension can lead to a more precise Zernike analysis of the diffraction spectrum with consideration of the carried information in each order. The correspondence between the Zernike coefficients and the lithographic results versus mask properties needs to be investigated and verified for 3D masks with complex patterns. After that, the capability of this approach in a fast and efficient characterization of the impact of mask parameters in the OPC process for EUV lithography can be anticipated. Another interesting application of rigorous EUV mask simulations is in the mask inspections. As the features sizes are getting smaller, the mask defects, e.g. absorber defects, surface roughness, multilayer defects, and the mask features become indistinguishable. Rigorous mask and imaging simulations of the measured mask geometries can be exploited to help to determine the defects of the masks from the aerial images [Yam10]. In Section 6.2.2, the aerial images of EUV masks with multilayer defects are demonstrated. Ito s compression model is applied to describe the geometry of the multilayer defect and to compute the layer deformation. The geometry of a defective EUV mask depends on the combination of numerous defect parameters. The printability of the defect and the impact of the defect on the printed CD are sensitive to the defect configuration. In conclusion, the non-planarized defect (see Figure 6.19) is more likely to be printed compared to the planarized defect under the same condition. The non-planarized defect shows a more pronounced impact on the printed CD for different defect parameters.

160 148 6 Application of the Waveguide Method in photomask simulation In general, a deformation on top of the multilayer scatters the light more efficiently compared to the deformation inside the multilayer. However, planarized defects can still be critical for certain combinations of defect parameters, especially for large defect sizes. The printability of a defect depends also on the absorber parameters. Certain combinations of the absorber thickness and refractive index can help to improve the contrast of the defect free image and to reduce the lithographic impact of the defect. Extensive simulation studies are required to investigate the defect printing for all imaging conditions, especially through focus, and to identify the most appropriate absorber parameters. Alternative defect simulation approaches can be used to speed up corresponding simulations. The analytical local Fresnel model provides a remarkable speedup in simulation. However, a model calibration is required to achieve a reasonable accuracy. The validity range of the calibrated model parameters has to be investigated. WDM presents a reasonable balance between the accuracy loss and the speed improvement. A further speedup can be obtained by distributed computation with PWDM. Other applications, such as simulation of large mask areas using WDM and PWDM are presented in Section 3.3. As the rigorous EMF effects become increasingly important in consideration of the mask, illumination, and process design, the introduction of the Waveguide Method and its extended methods provides an efficient solution. With more aggressive speedup and optimizations such as employing GPUs (see Section 5.5), particularly in case of fully rigorous simulation for larger masks, the Waveguide Method can be quite promising in the future applications of advanced lithography simulations.

161 Chapter 7 Application of the Waveguide Method in wafer simulation In the past years, several versions of double patterning and double exposure techniques were proposed to push the k 1 factor below the theoretical limit for the patterning of dense L/S (lines and spaces) in single exposure lithography. The viable application of the emerging novel techniques strongly relies on different criteria such as process compatibility of the required materials, process complexity with respect to its cost of ownership, process impact on the design, process maturity, and process stability [Haz09]. However due to the dramatically rising expenses in cost and time, as well as the immaturity of the process steps, materials, and facilities, the progress of the novel lithography techniques is limited to experimental investigations. Exploitation of the modeling and simulation of these techniques, which is much more cost-efficient than experiments, has become increasingly important to help lithographers to identify the critical issues and to optimize the processes of the novel techniques in advance explorations. This chapter focuses on the modeling of the rigorous EMF effects induced by topographic wafers in diverse double patterning and double exposure techniques. In a single patterning technique, the features from the previous layer of the circuit are hidden by the deposited BARC for the subsequent process. The wafer topography effects are, therefore, suppressed. The Waveguide Method is extended (see WaferWaveguide in Chapter 4) and applied to accurately compute the light diffraction by wafer topography. In Section 7.1.2, the standard litho-etch-litho-etch (LELE) process is explored. The patterned hardmask resulting from the first litho-etch step is demonstrated to have considerable impact on the second litho step. In Section 7.1.3, a recently developed concept of a litho-cure-litho-etch 1 (LCLE) technique is studied. The resist topography caused by the freezing/curing process is modeled and simulated. A double exposure technique employing a reversible contrast enhanced layer (RCEL) is presented in Section 7.2. The bleaching of the RCEL in the two exposure steps is rigorously modeled and simulated. The applicability of this technique is evaluated with respect to the requirement of the 1 Also named litho-freeze-litho-etch, LFLE 149

162 150 7 Application of the Waveguide Method in wafer simulation RCEL materials. 7.1 Double patterning techniques Introduction The theoretical resolution of projection lithography in a single exposure process is limited by the patterning of dense L/S. It has a minimum k 1 factor of 0.25 (see Section 1.1.3). A straightforward idea to produce dense L/S below k 1 of 0.25 is to superpose two lithographic images with larger pitches. Figure 7.1 presents an example of superposing the aerial images of two masks with interlaced patterns at k 1 of The linear superposition of the two intensities results in a final intensity with an extremely low contrast. In order to improve the contrast, a nonlinearity must be applied in the process of combining the results of two lithographic exposures. One approach to involve the nonlinearity is to use optically nonlinear materials in the layer stack on the wafer, e.g. employ a RCEL in a double exposure process (see Section 7.2). Another option is to include additional process steps between the exposures of the masks. It is widely used in double patterning techniques. The standard double patterning technique combines two complete exposure-etch steps for the two masks. Figure 7.2 gives an illustration of the basic processes in LELE to produce dense L/S. The first exposure of the photoresist is transferred to an underlying hardmask layer. The second photoresist is coated on the hardmask after resist removal. The second exposure produces interlaced L/S with respect to the patterned L/S in the hardmask layer. The patterned features are finally transferred into the final layer underneath. This doubles the feature density using the masks with twice larger pitches. This approach has been successfully demonstrated to pattern the gate level at 32 nm half-pitch (hp) [Mae05]. LELE can benefit from the advantage that all necessary processes are already well established in current lithography techniques. The k 1 factor can be pushed further to using the available facilities without any technical difficulties. The disadvantages include harsh overlay control between the second mask and the patterned hardmask after the first litho-etch process. Compared to other techniques, a larger number of the processes steps required in LELE results in a higher cost of ownership at less throughput. Another troubling issue in LELE is, from an optical point of view, the underlying topography resulting from the first patterning diffracts the light in the second exposure and alters the imaging patterns from design. Recent studies have shown that nonplanar topography in the layer stack on the wafer can cause effects such as reflective notching [Tad90], resist footing [Erd03], reduced efficiency of the BARC [Sha09b], and

163 7.1 Double patterning techniques 151 (a) Mask geometries of two interlaced lines Intensity (a.u.) Mask 1 Mask 2 Superposition x (nm) (b) Aerial images Figure 7.1: Superposition of the diffraction intensities of two mask layouts. (a): the mask geometries of two interlaced lines. (b): the simulated aerial images of the masks and the linear superposition (black dotted line) of the intensities. Line width = 38 nm, NA = 1.35, k 1 = Four periods are shown. other exposure artifacts [Erd05b]. Likewise, all possible effects induced by the patterned hardmask must be well investigated to ensure the reliability of the LELE process and to characterize the impact on the rules of the mask design. Examples of early explorations utilizing the Waveguide Method (WaferWaveguide) are shown in Section Compared to LELE, the number of process steps in the LCLE approach can be reduced by replacing the first etching process with an intermediate curing/freezing process of the photoresist after first patterning [Bae09, Bae10]. The curing/freezing step is targeted at the purpose to preserve the first photoresist insensitive with respect to the second lithography process. Existing curing techniques such as thermal cure or chemical cure using a surface curing agent are already demonstrated successfully [Bae09]. Figure 7.3 shows the schematic process flow of the LCLE process. The second photoresist is spin-coated on the cured/frozen resist after the first exposure, PEB, and development. A normal lithography process is subsequently performed to produce dense L/S with

164 152 7 Application of the Waveguide Method in wafer simulation Figure 7.2: Diagram of the standard litho-etch-litho-etch double patterning approach. (a): dual line approach. (b): dual trench approach. double density. The materials and processes are successfully demonstrated by Dow Materials [Bae10]. LCLE also suffers from many technical issues regarding the processes. A detailed description and modeling of these issues is published by Erdmann et al. [Erd10]. In Section 7.1.3, light scattering effects caused by the cured/frozen resist buried in the second resist are explored using the Waveguide Method. Figure 7.3: Diagram of the litho-cure-litho-etch double patterning approach.

165 7.1 Double patterning techniques 153 Other double patterning approaches employ a self-aligned process to produce features of double density, such as pattern doubling and spacer defined double patterning techniques. Compared to LELE and LCLE, the techniques including self-aligned process only need one exposure. Therefore, the overall number of process steps and the stringent requirement of the overlay accuracy are significantly reduced. On the other hand, the control of the self-aligned process requires a more complicated resist process. The mask layout is also limited to dense regular designs using these approaches. Nevertheless, the spacer defined double patterning is still regarded as one of the most promising techniques to fabricate logic devices for the next generation Application for the litho-etch-litho-etch process Simulation of lines and spaces with a mesa Simulation method The studied mask layout is shown in Figure 7.4 on a top view. The mask splitting strategy is straightforward: the first litho step is performed using a mask with 32 nm 1:4 L/S (green) and the second litho step using a mask with 32 nm mesas (red). Figure 7.5 gives a schematic view of the layer stack on the wafer for the second litho process. The wafer topography of the etched lines is modeled as a patterned hardmask (denoted as HM) buried in a single BARC layer. In this example, the selected configuration of the wafer parameters produces pronounced topography effects [Erd08]. The modeling of the illumination of the wafer is investigated for two different options: illumination of two coherent plane waves with angles representing the pitch of the mask (denoted as two beam interference ), and the exact diffraction spectrum (denoted as full spectrum ) of the second mask at the exit pupil of the imaging system. Compared to the two beam interference approach, the full spectrum approach contains all information of the illumination resulting from the second mask. In case of the full spectrum, a state-ofthe-art CQuad illuminator with a σ inner of 0.8, a σ outer of 0.98, and an opening angle of 35 is applied on a wafer immersion imaging system with a NA of The BARC efficiency, or the reflectivity at the top of the resist, is an important value to evaluate the suppression of the reflected light inside the resist. In the following simulations, the impact of the wafer topography produced in the first patterning on the BARC efficiency is investigated. The BARC efficiency is computed as the reflectivity of the 0 th order (see Eq. (2.78)) at the bottom of the resist layer, or the top of the BARC layer.

166 154 7 Application of the Waveguide Method in wafer simulation Figure 7.4: Top view of the studied mask for the investigated litho-etch-litho-etch process. A straightforward strategy is applied to split the test mask to 32 nm 1:4 L/S for the first patterning and a 32 nm mesa for the second patterning. Figure 7.5: Schematic view of the topographic wafer for the second patterning. The L/S features patterned in the first litho-etch process is modeled as a patterned hardmask (dashed) buried inside a single BARC layer. The setup is illustrated from the sideview in y direction. Simulation results Figure 7.6 displays the simulated behavior of resist bottom reflectivity versus BARC thickness. On the left graph, the two beam interference approach is used as the illumination for the second wafer. The term patterned HM refers to that one with a lateral width of the hardmask of 32 nm (see Figure 7.4). Unpatterned HM specifies a hardmask with a uniform thickness, i.e., without spaces. In other words, no topography is taken into account in the simulation for a unpatterned HM. Figure 7.6 (a) demonstrates the pronounced impact of the wafer topography on the BARC efficiency versus thickness: the optimum BARC thickness of the unpatterned HM (marked as B) at 34 nm corresponds to the maximum reflectivity of the patterned HM (marked as B). The worst BARC thickness predicted for the unpatterned HM (marked as C) at 62 nm refers to the actual optimum value (marked as D) predicted in case of the patterned HM. According

167 7.1 Double patterning techniques 155 to the simulation results, the optimum BARC thickness obtained from the simulation without consideration of the wafer topography leads to a completely wrong value which, as a matter of fact, produces a maximum reflectivity instead of a minimum. Resist bottom reflectivity (%) A Unpatterned hardmask Patterned hardmask C B 0 D BARC thickness (nm) Resist bottom reflectivity (%) E Two beam interference Full spectrum 0 B BARC thickness (nm) (a) Different hardmask (b) Different illumination Figure 7.6: Simulated resist bottom reflectivity versus BARC thickness. (a): simulation results of patterned and unpatterned hardmask under the illumination of two beam inference. (b): simulation results of a unpatterned hardmask using the two illumination approaches. Figure 7.7 shows the internal light intensity distribution inside the layer stack on the wafer with different combinations of BARC thickness and wafer topography. The reflected intensity (bottom) is computed as the intensity of the reflected light only. The full intensity (top) covers both the transmitted light and the reflected light. It can be observed that the reflected intensity gives a much better view on how much light is reflected into the resist. Consideration of the wafer topography (b) leads to an intensity distribution with strong standing waves, compared to a straight line resulting from a planar wafer (a). The dramatic impact observed in this example is due to the specific wafer configuration. For other practical configurations [Erd08], the impact of the wafer topography is less critical. Nevertheless, the results from Figure 7.6 suggest that the wafer topography effects on BARC efficiency have to be evaluated in advance to determine if they can be ignored in standard LELE processes. So far the optimization of the BARC thickness is performed under the illumination of two beam interference. However, the mesas in the second mask are created by the interference of light from multiple directions, including higher diffraction orders. In this situation, the two beam interference is insufficient to cover all the information carried by the incident light for the post feature. Therefore, a difference in the optimum BARC

168 156 7 Application of the Waveguide Method in wafer simulation (a) 34 nm unpatterned hardmask (b) 34 nm patterned hardmask (c) 62 nm patterned hardmask (d) 34 nm unpatterned hardmask (e) 34 nm patterned hardmask (f) 62 nm patterned hardmask Figure 7.7: Simulated intensity distributions in the second exposure for different configurations of layer stacks on the wafers. The full intensity and the reflected intensity are displayed on the top graphs and the bottom graphs, respectively. thickness obtained for the full spectrum illumination is expected. Figure 7.6 (b) compares the BARC thickness optimization curves using the two different illumination approaches. Here the unpatterned HM is studied. The optimum thickness predicted by the full spectrum approach is marked as E. In addition to a shift of the optimum BARC thickness, the full spectrum approach shows a smoother dependence from the BARC thickness and a slightly higher bottom reflectivity for thick BARC layers (detailed results are published in the paper [Sha09b]). This phenomenon results from the limited spatial coherence which is taken into account by the full spectrum approach. Additionally, the higher reflectivities for larger incidence angles of higher diffraction orders also contribute. In this example, the optimization time of the BARC thickness with 100 thickness steps is 85 seconds using the two beam interference approach, but 126 minutes when the full spectrum approach is applied. This suggests that much more computation load is required with full spectrum illumination to achieve a higher accuracy. For more complicated BARC optimizations involving a combination of several wafer parameters, the computation time becomes the most critical issue to apply rigorous simulation of

169 7.1 Double patterning techniques 157 the wafer topography Simulation of line-ends Simulation method Another LELE example for printing line-ends with a practical wafer configuration is investigated in this section. Figure 7.8 shows the studied mask layout and the split masks for each patterning step. The test mask consists of three pairs of line-ends with different gap sizes at 270 nm, 180 nm, and 90 nm, respectively. The mask size is 360 nm and the minimum feature size is 45 nm. The split strategy is straightforward: the neighboring features are separated in order to obtain a larger pitch size. The first mask consists of two different line-ends with 90 nm and 270 nm gaps. The second mask only has symmetric line-ends with a 180 nm gap. Figure 7.9 displays the wafer geometry for the second litho process. A bi-layer BARC is deposited on the top of the substrate. The pattern produced in the first litho-etch process is modeled as a patterned hardmask buried inside the bottom layer of the BARC (dashed rectangles). The thickness of the bottom BARC layer is fixed at 50 nm. The height of the hardmask is 20 nm. Figure 7.8: Top view of the studied mask and the splitting strategy for the investigated lithoetch-litho-etch process. The pitch size of the test mask is 360 nm for both directions, and the line width is 45 nm. The mask consists of three pairs of butting line-ends with different gap sizes. The distance between each pair of line-ends is 45 nm. Polarized quadrupole illumination with a σ inner of 0.45, a σ outer of 0.68, and an opening angle of 35 is applied in combination with a 1.35 NA projection lens to create the image of the second mask. The light diffraction from the second lithographic mask is rigorously computed using the Waveguide decomposition method (see Section 3.3). Similar to the first example, the full spectrum at the exit pupil of the imaging system, as well as a two beam interference, are used as the illumination of the wafer. In addition, a representative multi-beam illumination approach is also investigated. The representative directions of the illumination are determined according to the center positions of the poles.

170 158 7 Application of the Waveguide Method in wafer simulation Figure 7.9: Schematic setup of the simulated layer stack on the wafer for the second litho step in a standard litho-etch-litho-etch process. The layer stack on the wafer consists of two BARC layers on top of a hardmask layer. The topography resulting from the first litho process is modeled by a patterned hardmask. The pitch size is 360 nm. The bottom BARC layer has a thickness (d BARC2 ) of 50 nm. The patterned hardmask has a thickness (d HM ) of 20 nm. Materials: BARC I: j, BARC II: j, hardmask: j, substrate: j. Simulation results Figure 7.10 compares the field distributions inside the layer stacks on the wafer for unpatterned (top) and patterned (bottom) hardmasks using the full spectrum illumination. The total light distribution (full field) and the reflected light distribution (reflected field) are displayed and compared. The thickness value of the upper BARC layer is 82 nm. This value is obtained from a standard BARC thickness optimization of a unpatterned wafer using the illumination of two beam interference. The area of the resist is highlighted with red rectangles. Similar to the observations in Figure 7.7, the topography impact on the full field distribution in the center column of Figure 7.10 is almost not visible. In contrast to that, the comparison of the reflected fields demonstrates a pronounced lithographic impact of the wafer topography. In case of a patterned hardmask, a much more pronounced reflection of the light from the bottom of the resist layer is observed. This simulation result suggests an increased reflectivity due to the light scattering from the underlying wafer topography. The reflected light can be expected to cause standing wave effects and a deteriorated resist sidewall, especially at the line-ends which are known for their high sensitivity to process variations. Figure 7.11 displays the curves of resist bottom reflectivity versus BARC thickness for the unpatterned (a) and patterned wafer (b). The two beam illumination (dotted line) predicts an optimum BARC thickness value of 82 nm for the unpatterned wafer and 62 nm for the patterned wafer. The results predicted by the more accurate simulation using the full illumination approach are significantly different from those using the

171 7.1 Double patterning techniques 159 (a) Unpatterned hardmask (b) Full field (c) Reflected field (d) Patterned hardmask (e) Full field (f) Reflected field Figure 7.10: Simulated wafers and field distributions using the full illumination approach. The scaling of the full field and the reflected field is different. The thickness of the top BARC layer is 82 nm. The full field and the reflected field are compared according to wafers with non-planar topography (bottom graphs) and with planar topography (top graphs). The photoresist of interest is marked with a red rectangle. two-beam approach. For the unpatterned wafer, an optimum BARC thickness of 92 nm is obtained. For the patterned wafer, the optimum BARC thickness shifts into the first minimum of the swing curve with a thickness value of 18 nm. The results of the representative illumination are close to the full illumination results. Note, the representative illumination requires considerably less computation time than the full illumination. Figure 7.12 demonstrates the consistency between the simulated average reflectivity and the resist profiles at the end of butting line-ends created in the second lithography step. Resist profiles at different values of the BARC thickness are given. The two resist profiles at the top of the figure are obtained at optimum BARC thickness values as predicted by different simulation approaches. The resist profile for a BARC thickness of 92 nm (optimization result of the full spectrum approach) shows less undercut than that one for a BARC thickness of 82 nm (optimization result of the two beam interference approach). The best shape of the resist profile at the line-end is observed for the

172 160 7 Application of the Waveguide Method in wafer simulation Resist bottom reflectivity (%) Two beam illumination Full illumination Representative illumination 0 82 nm 92 nm BARC thickness (nm) Resist bottom reflectivity (%) Two beam illumination Full illumination Representative illumination 0 18 nm 62 nm BARC thickness (nm) (a) BARC optimization for unpatterned wafer (b) BARC optimization for patterned wafer Figure 7.11: BARC thickness optimization for unpatterned (a) and patterned wafers (b). Three approaches of the illumination of the wafer are used. The computed optimization curves are compared. The two beam illumination (dotted line) predicts 82 nm as the optimum for unpatterned wafer and 62 nm for the patterned one. The rigorous model (solid green line) using the full illumination approach predicts 92 nm and 18 nm, respectively. The representative illumination (dashed red line) predicts almost the same optimum values of the BARC thickness as the full illumination does. patterned wafer topography with a BARC thickness of 18 nm. This correlates with the lowest reflectivity of 0.3% predicted for this configuration. Figure 7.13 shows the footprints of the simulated resist profiles together with the mask pattern. As given in Figure 7.12, four different configurations are simulated. (a) and (b) show the simulation results for unpatterned wafers and BARC thickness values of 82 nm and 92 nm respectively.(c) and (d) show the results for patterned wafers with 92 nm and 18 nm BARC thickness, respectively. A typical corner rounding effect can be observed for all these configurations. The results of (a), (b), and (c) differ only slightly in their footprints. This suggests that the amount of the back-reflected light has only a minor impact on the footprint of the resulting resist profile. The residual bottom reflectivity has an impact on the resist slope rather than on the footprint. Figure 7.13 (d) shows the result at the optimum BARC thickness for the patterned wafer. The observed asymmetry can be attributed to the light scattering from the long center line on the wafer. Such effect cannot be compensated by the variation of the BARC thickness. However, the asymmetry effect can be taken into consideration in the OPC (optical proximity correction) of the second mask. Four serif features are added to compensate the additional exposure due to the center long line. The impact of such

173 7.1 Double patterning techniques 161 Figure 7.12: Simulated resist profiles on a side view: the y-parallel cut is taken at the center of the left line from the second mask (see Figure 7.8). A full illumination of the wafer according to the layout of the second mask is applied. The top profiles (a) and (b) are computed without considering the wafer topography (unpatterned hardmask) from the first patterning. The bottom profiles (c) and (d) are computed when the topography is taken into account (patterned hardmask). Different BARC thickness values are used according to the optimization results shown in Figure Specifically, the 82 nm BARC thickness predicted by two beam illumination over unpatterned wafer, 92 nm predicted by full illumination over unpatterned wafer, and 18 nm predicted by full illumination over patterned wafer are taken. OPC on the resist footprint can be seen on Figure 7.14 (c). The resist footprint produced by the mask with OPC (dashed line) is significantly more symmetric than this one by the original mask (solid line). As discussed in Section 4.3, the Waveguide simulation time at the wafer side is determined by the number of the sampled source points in illumination source. In the presented simulation example in Figure 7.11, the full illumination strategy includes 56 independent source points. The simulation time for 60 different values of BARC thickness is about 50 minutes on a standard PC with a single CPU. This corresponds to a simulation time of less than 1min per reflectivity value. The representative illumination example from Figure 7.11 performs simulations for four source points only. This reduces the required simulation time to a few seconds per wafer configuration. However, the identification of the most appropriate representative illuminations for other source and mask configurations has to be investigated.

174 162 7 Application of the Waveguide Method in wafer simulation y (nm) y (nm) x (nm) x (nm) (a) Unpatterned 82 nm BARC (b) Unpatterned 92 nm BARC y (nm) y (nm) x (nm) x (nm) (c) Patterned 92 nm BARC (d) Patterned 18 nm BARC Figure 7.13: Printed resist profiles on a top view - footprint (solid red line), mask pattern (dotted rectangle) on wafer scale. The simulated configurations are: (a) unpatterned wafer at 82 nm BARC thickness, (b) unpatterned wafer at 92 nm BARC thickness, (c) patterned wafer at 92 nm BARC thickness, and (d) patterned wafer at 92 nm BARC thickness Application for the litho-cure-litho-etch process Simulation method An crossed line example using LCLE process is presented in this section. This technique employs two subsequent exposures of L/S to create contact holes. The mask is rotated by 90 between the two exposures. Figure 7.15 displays the investigated geometries

175 7.1 Double patterning techniques 163 (a) Without compensation (b) With compensation 0 Before compensation After compensation 50 y (nm) x (nm) (c) Footprint of patterned 18 nm BARC Figure 7.14: Compensation of resist asymmetry induced by the wafer topography. (a) the mask geometry without compensation. (b) the mask geometry with compensation. Four serif features are added to compensate the additional exposure due to the scattered light from the center long line on the wafer. The resist footprints are displayed in (c) (only half of the pitch is shown). The footprint resulting from (a) is plotted in red dotted line. The footprint resulting from (b) with a simple OPC process is plotted in blue solid line. The optimized serif is 15 nm by 12.5 nm on wafer scale. consisting of horizontal and vertical L/S features. Three periods are shown. The left geometry aims at the fabrication of an 1:1 contact arrays whereas the geometry on the right is indented to pattern 3:1 long contact arrays. After the first exposure with the horizontal lines, the photoresist is baked and developed. Proper thermal and/or chemical treatment renders the first resist inert with respect to a second exposure. After that,

176 164 7 Application of the Waveguide Method in wafer simulation a second photoresist is spin-coated and exposed with vertical lines. The line width in this study is 45 nm. The major difference between the left and right geometries is the pitch of the resist lines created during the first exposure. The light diffraction from the semi-dense pattern (right) with a larger pitch produces more propagating diffraction orders inside the photoresist. The simulations in this example demonstrate that the interference of these diffraction orders can result in considerable linewidth variations. Figure 7.15: Top view of the studied geometries and the splitting strategy for the investigated litho-cure-litho-etch process. The process targets at the formation of 1:1 contact holes (left) and 3:1 long contacts (right), respectively, by an appropriate exposure and processing of orthogonal L/S patterns. Three periods are shown in both x and y direction. The first exposure of horizontal lines is displayed in green colour. The second exposure of vertical lines is displayed in red. The line width is 45 nm in both studied masks. Figure 7.16 shows the simulated layer stack on the wafer for the second exposure on a side view. The cured resist from the first exposure is specified by the horizontal line patterns (labeled as resist I) inside the resist for litho 2 process (labeled as resist II). The differences of the refractive and extinction index n and k, respectively, between the cured and litho 2 resist produce a resist topography that scatters light inside the resist layer. Since the pitch size of the second exposed mask remains the same for dense and semi dense procedures, the same source of TE polarized dipole with a σ inner of 0.76, a σ outer of 0.89, and an opening angle of 35 is used at a NA of The mask diffraction is rigorously computed using the Waveguide Method. Then the full spectrum through the exit pupil is used as the illumination of the wafer. The resist CDs (critical dimensions) on the top of the underlying lines are computed versus n and k and for different processing conditions inside the process window. Specifically, the linearity L n and L k of the CD variation versus n and k are fitted at the nominal n 0 and k 0, as

177 7.1 Double patterning techniques 165 given in equation: CD L n0 = lim n n 0 n CD L k0 = lim k k 0 k (7.1) The linearity and resist profiles for the two investigated procedures are computed and compared. The secure range of tolerable n and k variations between the cured and litho 2 resist can be obtained according to the simulated results. Figure 7.16: Schematic setup of the simulated wafer for the second exposure in a resist freezing process. One period is shown. The optical properties of the cured photoresist differ from that one of the photoresist used in the second lithography step. The pitch size is 90 nm and the linewidth is 45 nm. The BARC thickness (d BARC ) is 34 nm, and the resist thickness (d resist ) is 100 nm. Materials: resist II: j, BARC: j, substrate: j Simulation results Figure 7.17 demonstrates the sensitivity of the linewidth or CD of the resist line after the second lithography step versus the difference of the extinction between the cured resist and the litho 2 resist, respectively. The resist profile and CD are computed and extracted. The dependence curve of CD on k is presented. A linear dependency can be observed. The curve is fitted in the range producing less than 2 nm variation of CD (area in red dashed rectangle). The linear fit coefficients are computed at different dose and defocus combinations inside the lithographic process window. The results are summarized in Table 7.1. The values suggest that for the simulated example, variation of the resist extinction k in the curing process produces 1 nm difference in the resist CD. Variation of dose and defocus inside the process window has small impact on the linear fit coefficients. A similar investigation of CD versus material n indicates that 0.02 deviation of refractive index n produces less than 1 nm variance in the CD.

178 166 7 Application of the Waveguide Method in wafer simulation 10 CD (nm) k Figure 7.17: Sensitivity of CD versus difference between the extinction coefficients k of the cured resist and litho 2 resist, respectively. The linear fitting coefficients L k of corresponding curves at different dose and defocus positions inside the lithographic process window are computed and summarized in Table 7.1. Table 7.1: Table of computed linearities L k for different CD and defocus combinations picked up from the lithographic process window. CD Defocus -20 nm -55 nm -90 nm 40.5 nm nm nm Figure 7.18 presents simulated footprints of the square contacts as in Figure 7.15 (left) after the second exposure. The horizontal lines are the ideal patterns resulting from the first exposure. To simplify the simulation sequence, a perfect matched layer stack on the wafer is assumed: the optical properties of the BARC and the substrate are identical to that one of the frozen resist. Large values of n and k are used to show a more pronounced impact on the resist pattern. The simulated results indicate a small impact of the wafer topography on the CD. No significant variation of the linewidth with respect to the position of the first cured resist line can be observed. In Figure 7.19 the long-contact formation by semi-dense horizontal lines is investigated. The same combinations of n and k as in Figure 7.18 are used. Compared to the footprints displayed in Figure 7.18, a much more pronounced deformation of the

179 7.1 Double patterning techniques 167 (a) n = 0, k = 0.01 (b) n = 0, k = (c) n = 0.04, k = 0 (d) n = -0.04, k = 0 Figure 7.18: Simulated footprints of dense crossed lines at different n and k configurations. Three pitches are shown. The patterned prints of the first exposure is displayed by horizontal blue lines. The vertical green lines refer to the simulated resist footprints. Relatively large refractive index and extinction differences between the cured and litho 2 resist as n and k are used. (a): n = 0, k =0.01. (b): n = 0, k = (c): n = 0.04, k = 0. (d): n = -0.04, k = 0. resist pattern is obtained due to the wafer topography from the first exposure. This can be explained as follows: The increased pitch of the semi-dense lines created by the first lithography step produces more diffraction orders in the second exposure. These diffraction orders interfere with the exposing light. The resulting interference leads to a much more pronounced variation of the linewidth versus the position of the lines created in the first lithography step. The results of these simulations suggest a strong preference in the exposure/processing sequence for long contacts. The dense lines should be always

180 168 7 Application of the Waveguide Method in wafer simulation (a) n = 0, k = 0.01 (b) n = 0, k = (c) n = 0.04, k = 0 (d) n = -0.04, k = 0 Figure 7.19: Simulated footprints of semi-dense crossed lines at different n and k configurations. Three pitches are shown. The patterned prints of the first exposure is displayed by horizontal blue lines. The vertical green lines refer to the simulated resist footprints. The applied n and k combinations are given in Figure exposed and processed first. A quantitative investigation of the dependence of the printed CD on the pitch size and the printing sequence is presented in Figure It shows the impact of the light scattering from the resist I pattern on the magnitude of the linewidth variation CD along the resist II lines for different resist I pitches and refractive index differences n. There is a critical pitch of the resist I pattern producing pronounced scattering effects and linewidth variations. More extensive simulations are required to determine the dependence of this critical pitch from the exposure and wafer settings. Lithographic

181 7.2 Double exposure techniques 169 exposures at the critical pitch can be used to check the sensitivity of the lithographic processes to the wafer topography effects. On the other hand, the information on this critical pitch should be taken in the design split for the double patterning process. This critical pitch has to be avoided in the design of the mask for the litho 1 process. More details are published in the paper [Erd10, Sha09a]. (a) Different pitches of resist I (b) Different n Figure 7.20: Simulated linewidth variation CD of resist II line for the crossed line configuration and different refractive index differences n (a) and resist I pitches p (b). Results and pictures are from [Erd10]. 7.2 Double exposure techniques Introduction In the last section, several double pattering techniques are described to create the required dense patterns with small (half) pitches. It can be expected that several of these double patterning techniques will be used for the 32 nm technology node and even below. However from the manufacturing point of view, all the double patterning techniques suffer from their complex process flow that requires several times more steps than a standard single lithography process. Therefore, the throughput of double patterning is considerably reduced, resulting a higher cost of ownership. In this perspective, a double exposure technique would be preferable, since the two subsequent exposures can be done without intermediate processing of the wafer. However, all double exposure techniques which reduce the half-pitch below the theoretical limit for a single exposure require an optical nonlinearity [Bye07, Pet09]. Contrast enhancement layers (CEL) are photobleachable materials coated on the top

182 170 7 Application of the Waveguide Method in wafer simulation of the photoresist. The optical nonlinearity of CELs increases the transversal gradient of photosensitive components inside the photoresist after exposure. The increased contrast of photoactive compounds (PAC) or photoacid generators (PAG) can be used to increase the dose latitude and the resolution of the lithographic processes. The benefits of CELs for optical projection lithography were already demonstrated by experiments and simulations in the 80ies [Wes83, Bro88]. To apply CELs in a double exposure scheme, the optical nonlinearity or bleaching of the CEL has to be refreshed or reversed between the two exposures. Consequently, the term reversible contrast enhancement layer (RCEL) is introduced. In previous studies [Kim07, Erd08, Bri09, Lee09], simulations based on simplified models were used to predict the lithographic performance of RCELs in double exposure techniques. However, most of these simulations were restricted to few special cases to demonstrate the possibility to achieve a sub 0.25NA/λ hp by double exposure with RCEL. In this section, a fast and rigorous modeling of RCELs using the rigorous Waveguide Method (WaferWaveguide) is presented for the first time. The simulation results show that the application of RCELs requires an in depth understanding of the involved physical mechanisms and an optimization of several experimental parameters Reversible contrast enhancement layers One promising material family for RCEL is wide bandgap semiconductor nanocrystals. The small size of the semiconductor nanocrystals alters the material s optical properties from the bulk. As the nanocrystal size reduces to comparable or smaller than the exciton size, quantum confinement occurs. The two most relevant consequences of the quantum confinement are an increase in the effective bandgap and discrete electronic states near the band edges [Efr82, Bru83, Eki82, Vos94]. The tunability of the bandgaps makes it possible to optimize the bandgap of the nanocrystals for a specific lithographic wavelength. The discrete energy levels increases oscillator strength at the corresponding wavelengths and, consequently, increases the contrast of bleaching. Near the band edges, semiconductor nanocrystals can be bleached with light intensities available for lithography. The mechanism is commonly attributed to band filling, screening, or an internal electrical field effect [Kam89]. Wide bandgap semiconductors usually possess high absorptivity at the bandgap. For example, one of the candidate materials, AlN, has bulk absorptivity more than cm 1 [Dem86]. It was shown in the literature that quantum confinement can increase the absorptivity in a semiconductor by as much as five times [Lea02]. Using AlN as a model system, assuming uniform spherical nanocrystals with 2 nm radius, assuming that the absorptivity of the material is doubled compared to bulk value as a result of

183 7.2 Double exposure techniques 171 quantum confinement, and a 40 vol% loading of the nanocrystals in a polymer binder, and a complete bleaching, that is unbleachable absorption B = 0, then a straightforward calculation shows and a bleachable absorption A = 40 µm 1 can be achieved with a thickness of the RCEL of 100 nm. Another significant advantage of semiconductor nanocrystals is its versatility in terms of synthesis and integration with other materials systems. By dispersing nanocrystals into lithography compatible polymers, a spin-coatable RCEL can be created. High quality semiconductor nanocrystals for RCEL purpose were first proposed and synthesized by Pixelligent Technologies, LLC. A variety of other polymer matrices to form nanocomposite with super optical clarity and uniformity, and significant photobleaching has been demonstrated Simplified model A simplified RCEL model is proposed based on [Old87]. Consider a thin CEL on top of a photoresist. The CEL is assumed to contain a photobleachable component M. If reflections from the substrate are ignored and the incident light is assumed to propagate normal to the CEL surface, the relation between the incident dose d I on the top of the CEL and the dose d R below the CEL at the top of the resist is given by: d R = ( ) exp(c di ) 1 ln + 1 exp(a th) C (7.2) where A, C, and th are the bleachable absorption, photosensitivity, and thickness value of the CEL. In the derivation of this equation, a complete bleaching of the CEL is assumed. Sufficiently large dose values result in a vanishing extinction of the CEL. Figure 7.21 shows the computed normalized dose d R on the top of the photoresist for different bleaching parameters of A. The dose distributions on the top of the CEL are obtained by the illumination of two beam interference, as specified in equation: d I = sin 2 (2 π x/p) (7.3) The dose distributions in the second exposure are shifted by a quater of the period p with respect to those in the first exposure. The dose distribution in (c) is obtained by superposition of the dose distributions in (a) and (b). This corresponds to a double exposure sequence for the patterning of dense lines and spaces. All d R curves are normalized by the maximum dose value below the photoresist. The curves for A = 0 describe a linear superposition of sin 2 and cos 2 shaped dose patterns and adds up to a constant dose inside the photoresist. Non-zero values of A introduce a nonlinearity

184 172 7 Application of the Waveguide Method in wafer simulation which sharpens the dose peaks of the first and second exposure and results in a modulation in the superposed dose. The contrast of the superposed dose distribution increases with A (as indicated by Eq. (7.2)). d R (normalized) A = 0 A = 10 A = 30 d R (normalized) A = 0 A = 10 A = x (nm) (a) First exposure x (nm) (b) Second exposure 1.2 d R (normalized) A = A = 10 A = x (nm) (c) Superposition Figure 7.21: Normalized dose d R at the top of the photoresist for different CEL parameters according to Eq. (7.2) and sin 2 distributions of the incident dose d I. The results of the second exposure are the results of the first exposure shifted by a quarter of the period. A denotes the bleachable absorption of the CEL in µm 1. C = 3 cm 2 /mj, th = 100 nm, p = 200 nm. Red solid line: A = 0 µm 1 ; blue dashed line: A = 10 µm 1 ; green line with dots: A = 30 µm 1. The results of Figure 7.21 clearly demonstrate that the optical nonlinearity of CELs provides a route to sub k 1 = 0.25 lithographic patterning. The CEL has to be refreshed between the first and second exposure, so that the term reversible-cel or RCEL is introduced. However, this simple model neglects the diffraction of light by the spatially modulated RCEL. Therefore, this model cannot be used for the quantitative analysis of

185 7.2 Double exposure techniques 173 RCELs in sub-micron lithography Rigorous model Figure 7.22 shows the simulated experimental setup. The wafer is exposed by plane waves which emerge from the exit pupil of a lithographic projection system with a numerical aperture NA. The immersion fluid is water with a refractive index of If not mentioned otherwise, the RCEL parameters are assumed as follows: Bleachable absorption A = 30 µm 1, unbleachable absorption B = 0.0 µm 1, photosensitivity 1 cm 2 /mj, refractive index n = 1.7, and thickness th = 100 nm. A 100 nm thick photoresist with a constant nonbleachable extinction k = is assumed. To support a more straightforward interpretation of the results, the substrate is index matched to the resist. For the simulation of the dense lines, a two beam interference of two plane waves which emerge from the outer border of the exit aperture NA is considered as the illumination. The simulations for the semi-dense lines are performed with the illumination of the computed diffraction spectrum of a real mask. Figure 7.22: Setup of the wafer configuration for the simulations. Figure 7.23 presents the schematic simulation flow of the dynamic model. The user input includes the initial optical properties of the layer stack on the wafer, the dose plan (number of exposure steps and the dosage for each step), and the illumination spectra. The dynamic bleaching process is simulated as follows: The discrete dose steps are computed in a loop. At the beginning of the loop, the optical properties of the layer stack on the wafer are updated. The light diffraction inside the layer stack on the wafer is computed using WaferWaveguide. The light intensity distribution inside the RCEL (I RCEL ) and the resist (I resist ) are extracted after that. The concentrations M of photosensitive species inside the RCEL and of PAG inside the resist are updated based on the computed intensities and the Dill model. Finally, the bleaching of the RCEL and the resist material is computed from M and PAG, respectively. The material properties

186 174 7 Application of the Waveguide Method in wafer simulation of the bleached RCEL are used to update the layer stack on the wafer for the next iteration. The whole simulation terminates when the specified number of dose steps is reached. More information is given in Section Figure 7.23: Simulation flow for the rigorous modeling of the bleaching dynamics and the light diffraction from the spatially modulated RCEL. If the resist is not bleachable, the PAG concentration inside the resist in a dynamic model is described as: P AG resist = i exp ( C resist I i resist dose ) (7.4) where i refers to the i th dose step, C resist is the photosensitivity of the resist, I resist is the open frame normalized intensity distribution inside the resist during the i th dose step, and dose is the dosage for each step. Because of the constant photosensitivity C resist and the equidistant dose steps dose, one can reformulate the PAG concentration as: P AG resist = exp ( C resist dose i I i resist ) (7.5) Equivalent equations can be applied to simulate the concentration M of photosensitive species inside the RCEL. However in the RCEL, the material properties are modified

187 7.2 Double exposure techniques 175 in each dose step using the Dill model and M (see Eq. (7.7)). According to Eq. (7.5), the PAG depends on the product of the photosensitivity C and the dose increment. In the following, the product C rcel dose is specified as relative dose where C rcel is the photosensitivity of the RCEL. In the LLE (litho-litho-etch) process, the final PAG concentration inside the resist after two litho steps can be formulated as: ( P AG resist = exp C resist dose ( I step + )) I step (7.6) litho1 litho2 where the term litho1 I step + litho2 I step is defined as the accumulated intensity inside the resist after both litho steps. For all the simulations in this study, the contrast of the accumulated intensity and of the acid concentration (C acid = 1 P AG) inside the resist are used to characterize the lithographic performance. If not specified otherwise, the dynamic bleaching is simulated by 50 equidistant dose steps. A non-bleachable resist material is assumed. The RCEL and the resist are sampled with a resolution of 0.5 nm in all directions. All simulations are performed on a standard PC with 3 GHz CPU and 4 GB memory. The rigorous illumination spectra for semi-dense lines are computed using the Waveguide Method. In general, both the refractive index n and the extinction k of the RCEL depend on the concentration of the photobleachable component M inside the RCEL. The developed WaferWaveguide considers the light induced changes of n and k. For all the simulations in this study, a constant refractive index and a linear dependence of the extinction k from the concentration of photobleachable components M inside the RCEL are assumed. The dependency of k on M is given by: k = 4π λ A M (7.7) Simulation results Dense lines Double exposure at the theoretical limit of k 1 = is demonstrated in this section. The RCEL and photoresist are exposed with two plane waves from the border of the exit aperture NA. This situation is characterized by the NA or the resulting hp of the PAG modulation in the photoresist after two subsequent exposures as in Eq. (7.8). In the following simulations, the equivalent NA is used as a parameter to specify the corresponding hp, as given by: hp = NA 8λ (7.8)

188 176 7 Application of the Waveguide Method in wafer simulation The simulation results in Figure 7.24 demonstrate the bleaching dynamics of the RCEL for a single exposure. The left column shows the computed instantaneous intensities in the RCEL and the resist after the specified number of dose steps. The corresponding integrated intensities are given in the center column. The right column presents the extinction data of the RCEL and the resist. Due to the high extinction of the RCEL before the exposure, the light penetrates only a few tens of nanometers into the RCEL after the first dose step. The accumulated intensity is identical with the instantaneous intensity. Only a small modification of the extinction in the upper part of the RCEL can be observed. After 20 dose steps, the RCEL is almost bleached in the bright areas of the incident intensity distribution. The light is penetrating into the photoresist. There is a significant difference between the instantaneous and the (normalized) accumulated intensity. A qualitatively similar result is seen after complete exposure (30 dose steps). Next, the exposure with two shifted interference patterns is considered. Figure 7.25 presents the simulated concentration of the photosensitive species: the photobleachable component M in RCEL and the photoacid generator PAG in resist. The status of the RCEL and the resist during the first exposure is shown in the upper column. The RCEL is refreshed after the first exposure. The results for the second exposure are given in the lower column. A different color scale is used for the plots of the second exposure to highlight the contrast created inside the resist. The first exposure results in a good contrast of the PAG inside the photoresist. However, the modulation of the PAG has the hp of the single exposure hp = NA/(4λ). Superposition of the first exposure with a second shifted exposure reduces the modulation of the PAG concentration inside the photoresist. Due to the optical nonlinearity of the RCEL, a non-vanishing PAG modulation with a hp specified by Eq. (7.8) can be observed. The contrast of the PAG modulation at the top of the photoresist is 3.7%. It drops with increasing penetration depth into the resist. The achieved PAG contrast inside the photoresist is too low for a manufacturable process. This PAG contrast depends on many parameters such as the bleachable absorption A, and thickness of the RCEL, the refractive index of the RCEL and the photoresist, the dose, and the angle between the interfering waves (or the corresponding N A). Extensive simulations are used to study the impact of these parameters. Figure 7.26 shows the impact of the bleachable absorption A. An increase from A = 30 µm 1 to A = 100 µm 1 improves the contrast of the accumulated intensity from 7.3% to 19.5%. Such positive impact of the increased bleachable absorption A was already observed in other studies [Bye07, Bri09, Lee09]. Unfortunately, a value of A = 100 µm 1 is unrealistically high, especially for existing materials. Moreover, high A values require very high

189 7.2 Double exposure techniques 177 (a) Dose step: 1 (b) Dose step: 1 (c) Dose step: 1 (d) Dose step: 20 (e) Dose step: 20 (f) Dose step: 20 (g) Dose step: 30 (h) Dose step: 30 (i) Dose step: 30 Figure 7.24: Bleaching dynamics of the RCEL in a single exposure. Left graphs (a), (d), and (g): instantaneous intensity. Center graphs (b), (e), (h): normalized accumulated intensity. Right graphs (c), (f), and (i): extinction k of the RCEL and the photoresist. The relative dose of 1.0 is split into 30 discrete dose steps. Dose steps 1, 20, and 30 (final exposure) are shown. Two interfering plane waves with amplitude 1 are used as illumination. Period of the interference pattern p = 148 nm. The white dashed line shows the boundary between RCEL (upper part) and photoresist (lower part). All other parameters are given as in Section exposure doses and decrease the throughput. The impact of the RCEL thickness on the generated photoacid inside the photoresist is shown in Figure For very thin RCELs the acid contrast increases linearly with the thickness of the RCEL. The increase of the acid contrast gets saturated for thicker

190 178 7 Application of the Waveguide Method in wafer simulation (a) Dose step: 1 (b) Dose step: 20 (c) Dose step: 30 (d) Dose step: 1 (e) Dose step: 20 (f) Dose step: 30 Figure 7.25: Concentration of M inside the RCEL and PAG inside the photoresist during a double exposure sequence. (a), (b), and (c): first exposure at 1, 20, and 30 of 30 discrete dose steps, respectively. (d), (e), and (f): second exposure at 1, 20, and 30 of 30 discrete dose steps, respectively. The white dashed line shows the boundary between the RCEL and the photoresist. All other simulation parameters are given as in Figure (a) A = 30 µm 1 (b) A = 100 µm 1 Figure 7.26: Simulated distribution of accumulated intensity inside the photoresist after the second exposure step. (a): A = 30 µm 1. (b): A = 100 µm 1. Incident light - two interfering plane waves with amplitude 1, period of the interference pattern p = 88 nm.

191 7.2 Double exposure techniques 179 RCELs and remains constant for thickness values above 60 nm. Maximum acid contrast (%) RCEL thickness (nm) Figure 7.27: Simulated contrast of photoacid at the top surface of the photoresist after the second exposure step versus thickness of the RCEL. Incident light - two interfering plane waves with amplitude 1, period of the interference pattern p = 88 nm. Next, the impact of the incidence angles of the interfering waves on the intensity contrast and the normalized image log slope (NILS) inside the photoresist is investigated. The results are shown in Figure The incidence angle θ of the interfering waves is specified by the numerical aperture NA = n immersion sin(θ) which transmits the corresponding diffraction orders at the rim of the aperture. The resulting hp after the second exposure is computed by Eq. (7.8) and specified at the upper horizontal axis of the graph. Simulation results for different refractive indices of the RCEL and the photoresist are shown. Intensity contrast and NILS show the same dependency on N A and refractive index. At the largest NA and smallest hp only a small intensity contrast and NILS are observed. The intensity contrast and NILS improve for smaller NAs. The maximum values are reached at NA specified by: NA = n resist 3 (7.9) It corresponds to a pitch of the spatially modulated RCEL where higher diffraction orders start to propagate inside the photoresist. This equation can be derived as follows. The number of diffracted orders propagating inside the photoresist is given by: n resist sin(θ m resist) = (2m + 1)λ 2p (7.10)

192 180 7 Application of the Waveguide Method in wafer simulation Maximum intensity contrast Half pitch (nm) Resist n=1.8 Resist n=2.0 Resist n=2.2 Resist n=2.6 Resist n= NA NILS Half pitch (nm) Resist n=1.8 Resist n=2.0 Resist n=2.2 Resist n=2.6 Resist n= NA (a) Intensity contrast versus NA (b) NILS versus NA Figure 7.28: Simulated maximum accumulated intensity constrast (a) and NILS (b) inside the photoresist after the second exposure versus incidence angle ( NA on the bottom axis) of the interfering plane waves and hp ( Half-pitch on the top axis) of the resulting intensity modulation. Different refractive index values of RCEL and resist n = n RCEL = n resist are computed. where p is the pitch and m is the m th order diffracted by the RCEL modulations. If more than two propagating orders are allowed, sin(θresist m ) < 1 must be fulfilled for m = 1 and m = 2, or: With N A = λ/2p, Eq. 3λ 2p n resist < 1 (7.11) (7.9) can be derived to determine the criteria that higher propagating orders participate in the imaging formation inside the resist and, therefore, yield higher intensity contrast. Such behavior can be observed for all refractive indices of the RCEL and the photoresist. Larger refractive index values n RCEL = n resist tend to produce a higher value of the accumulated intensity contrast and NILS inside the photoresist. The results of these simulations suggest the following explanation of the RCEL performance: The optical nonlinearity of the RCEL introduces high spatial frequencies which improve the accumulated intensity contrast inside the RCEL. The contrast increases with the nonlinearity or bleaching parameter A of the RCEL. However, a high optical nonlinearity of the RCEL is not sufficient to achieve large contrast values inside the photoresist. It is necessary to transfer the high spatial frequency components into the resist. For a lithographic exposure close to the resolution limits, the high spatial frequency components do not propagate inside the photoresist. These components can

193 7.2 Double exposure techniques 181 be considered as evanescent waves which are exponentially damped inside the resist. High values of intensity contrast can be only observed in the upper part of the photoresist. The achievable contrast inside the resist depends on the coupling efficiency between the high spatial frequency components in the RCEL layer and the evanescent waves in the upper part of the photoresist, which in turn depend on the material parameters, especially on the refractive index values of the RCEL and the photoresist and on the imaging conditions (incidence angles or NA). At a NA = n resist /3 higher diffraction orders from the spatially modulated RCEL start to propagate inside the resist. The resulting intensity contrast inside the photoresist depends on the relative phase and the resulting interference between the diffraction orders. As shown in Figure 7.29, the vertical position of the best contrast starts to move through the photoresist. The intensity distributions at the lowest NA values in Figure 7.29 are typical for the Talbot effect which occurs when a grating (the spatially modulated RCEL) is illuminated by a monochromatic plane wave [Lu06]. (a) NA = 1.05 (b) NA = 0.79 (c) NA = 0.66 (d) NA = 0.62 (e) NA = 0.56 (f) NA = 0.6 Figure 7.29: Simulated accumulated intensity inside the photoresist for different values of NA. (a): NA = (b): NA = (c): NA = (d): NA = (e): NA = (f): NA = 0.6. Refractive index of RCEL and photoresist n = n RCEL = n resist = 1.8. All other parameters as given in Figure 7.28.

194 182 7 Application of the Waveguide Method in wafer simulation Semi-dense lines According to the results from the simulation of dense lines, it is very difficult to achieve high contrast values of the accumulated intensity, PAG, and photoacid inside the photoresist for k 1 = lithography at small half pitches. The following studies present selected investigations of the RCEL performance at larger k 1. The following results are shown for a single exposure of 45 nm lines with a pitch of 180 nm. Illumination: 1.35 NA, TE-polarized, dipole of σ = 0.3/0.5, and opening angle = 20. Figure 7.30 presents simulated accumulated intensities inside the photoresist without and with a RCEL, respectively. The RCEL improves the contrast as well as NILS at the top of the resist. This effect can be more clearly seen in the accumulated intensity cross sections at the top of the photoresist shown in Figure (a) Without a RCEL (b) With a RCEL Figure 7.30: Simulated accumulated intensities inside the photoresist without (a) and with (b) a RCEL. Finally, the NILS values are computed versus the vertical position inside the resist and the bleachable absorption parameter A of the RCEL. Results are shown in Figure The defocus of the projection system is chosen to provide the best contrast and NILS at the vertical center of the photoresist. As expected, the optical nonlinearity of the RCEL improves the NILS at the top of the resist. Light diffraction from the bleached RCEL reduces the NILS with increasing distance from the top of the resist. The NILS at the lower part of the resist depends strongly from the superposition of the diffracted light and on the bleaching status of the resist. Partially bleached RCELs (5-20 dose steps) show the best process performance. The NILS enhancement due to the RCEL increases with the bleachable absorption A. According to the right part of Figure 7.32, the number of the dose steps (or the magnitude of the final dose) has also a significant impact of the achievable NILS. This again highlights the fact that the application of

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

Near-field scanning optical microscopy (SNOM)

Near-field scanning optical microscopy (SNOM) Adviser: dr. Maja Remškar Institut Jožef Stefan January 2010 1 2 3 4 5 6 Fluorescence Raman and surface enhanced Raman 7 Conventional optical microscopy-limited resolution Two broad classes of techniques

More information

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system Measurement results on after etch resist coated features on the new Leica Microsystems LWM27 DUV critical dimension metrology system John Whittey Leica Microsystems Inc. 1761 Dixon Road, Oakdale, CA 95361

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND

DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND THE THREE-DIMENSIONAL DISTRIBUTION OF THE RADIANT FLUX DENSITY AT THE FOCUS OF A CONVERGENCE BEAM

More information

... complement Information for Processing

... complement Information for Processing AZ nlof 2xx Negative Resist... complement Information for Processing revised 25--7 General Information AZ nlof 2xx is a family of negative s, with the exposed remaining on the substrate after development.

More information

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Introduction Following our previous lab exercises, you now have the skills and understanding to control

More information

Using the Normalized Image Log-Slope, part 3

Using the Normalized Image Log-Slope, part 3 T h e L i t h o g r a p h y E x p e r t (Summer 2001) Using the Normalized Image Log-Slope, part 3 Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in parts 1 and 2

More information

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure Ivan Lalovic a+, Nigel Farrar* b, Kazuhiro Takahashi c, Eric Kent a, Daniel Colon b, German Rylov b, Alden Acheta a, Koji Toyoda d, Harry

More information

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography Optical lithography Robin Nagel TUM 12. Januar 2009 Robin Nagel (TUM) Optical lithography 12. Januar 2009 1 / 22 1 What is optical lithography? 1 The optical system 1 Production process 1 Future and limits

More information

Photolithography (source: Wikipedia)

Photolithography (source: Wikipedia) Photolithography (source: Wikipedia) For earlier uses of photolithography in printing, see Lithography. For the same process applied to metal, see Photochemical machining. Photolithography (also called

More information

Preface Light Microscopy X-ray Diffraction Methods

Preface Light Microscopy X-ray Diffraction Methods Preface xi 1 Light Microscopy 1 1.1 Optical Principles 1 1.1.1 Image Formation 1 1.1.2 Resolution 3 1.1.3 Depth of Field 5 1.1.4 Aberrations 6 1.2 Instrumentation 8 1.2.1 Illumination System 9 1.2.2 Objective

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Saulius Marcinkevičius Optics, ICT, KTH 1 Outline Optical near field. Principle of scanning near field optical microscope

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

CREOL, College of Optics & Photonics, University of Central Florida

CREOL, College of Optics & Photonics, University of Central Florida OSE6650 - Optical Properties of Nanostructured Materials Optical Properties of Nanostructured Materials Fall 2013 Class 3 slide 1 Challenge: excite and detect the near field Thus far: Nanostructured materials

More information

Modern Classical Optics

Modern Classical Optics Modern Classical Optics GEOFFREY BROOKER Department of Physics University of Oxford OXPORD UNIVERSITY PRESS Contents 1 Electromagnetism and basic optics 1 1.1 Introduction 1 1.2 The Maxwell equations 1

More information

EUV Source Technology: Challenges and Status

EUV Source Technology: Challenges and Status Chapter 1 EUV Source Technology: Challenges and Status Vivek Bakshi Contents 1.1 Introduction 4 1.2 Conversion Efficiency of EUV Sources 4 1.2.1 DPP versus LPP 4 1.2.2 Xe, Sn, and Li conversion efficiency

More information

Longwave IR focal-plane binary optics

Longwave IR focal-plane binary optics Longwave IR focal-plane binary optics Z. Sikorski, H. Polakowski Institute of Optoelectronics, Military University of Technology, 2 Kaliskiego Str., -98 Warsaw, e-mail: zsikorsk@wat.waw.pl Abstract In

More information

Optical Microscopy Beyond the Diffraction Limit: Imaging Guided and Propagating Fields

Optical Microscopy Beyond the Diffraction Limit: Imaging Guided and Propagating Fields Optical Microscopy Beyond the Diffraction Limit: Imaging Guided and Propagating Fields M. Selim Ünlü, Bennett B. Goldberg, and Stephen B. Ippolito Boston University Department of Electrical and Computer

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

Hunting Ghosts. For the development of imaging optical STRAY LIGHT ANALYSIS IN IMAGING OPTICS

Hunting Ghosts. For the development of imaging optical STRAY LIGHT ANALYSIS IN IMAGING OPTICS Virtual prototype of the camera lens defined in [3]. Besides the lenses we model only those mechanical parts that potentially contribute the most to stray light Hunting Ghosts STRAY LIGHT ANALYSIS IN IMAGING

More information

Today. next two weeks

Today. next two weeks Today Temporal and spatial coherence Spatially incoherent imaging The incoherent PSF The Optical Transfer Function (OTF) and Modulation Transfer Function (MTF) MTF and contrast comparison of spatially

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Fiber Optics: Fiber Basics

Fiber Optics: Fiber Basics Photonics Technical Note # 21 Fiber Optics Fiber Optics: Fiber Basics Optical fibers are circular dielectric wave-guides that can transport optical energy and information. They have a central core surrounded

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Raman Spectroscopy Basics

Raman Spectroscopy Basics Raman Spectroscopy Basics Introduction Raman spectroscopy is a spectroscopic technique based on inelastic scattering of monochromatic light, usually from a laser source. Inelastic scattering means that

More information

Optical Metrology. Third Edition. Kjell J. Gasvik Spectra Vision AS, Trondheim, Norway JOHN WILEY & SONS, LTD

Optical Metrology. Third Edition. Kjell J. Gasvik Spectra Vision AS, Trondheim, Norway JOHN WILEY & SONS, LTD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Optical Metrology Third Edition Kjell J. Gasvik Spectra Vision AS,

More information

Efficiency, Dispersion and Straylight Performance Tests of Immersed Gratings for High Resolution Spectroscopy in the Near Infra-red

Efficiency, Dispersion and Straylight Performance Tests of Immersed Gratings for High Resolution Spectroscopy in the Near Infra-red Changing the economics of space Efficiency, Dispersion and Straylight Performance Tests of Immersed Gratings for High Resolution Spectroscopy in the Near Infra-red J. Fernandez-Saldivar 1, F. Culfaz 1,

More information

Acoustic GHz-Microscopy: Potential, Challenges and Applications

Acoustic GHz-Microscopy: Potential, Challenges and Applications Acoustic GHz-Microscopy: Potential, Challenges and Applications A Joint Development of PVA TePLa Analytical Systems GmbH and Fraunhofer IWM-Halle Dr. Sebastian Brand (Ph.D.) Fraunhofer CAM Fraunhofer Institute

More information

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz*

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* AIMS EUV Status of Concept and Feasibility Study 20.10.2010 Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* *Carl Zeiss SMS GmbH, Jena,Germany **Carl Zeiss SMT GmbH,

More information

AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light

AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light Name: Period: Date: MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. 1) Reflection,

More information

Excimer Laser Technology

Excimer Laser Technology D. Basting G. Marowsky (Eds.) Excimer Laser Technology With 257 Figures ^y Springer Contents 1 Introduction 1 1.1 Introductory Remarks 1 1.1.1 The Unique Microstructuring Capabilities of Excimer Lasers

More information

A Guide to Acousto-Optic Modulators

A Guide to Acousto-Optic Modulators A Guide to Acousto-Optic Modulators D. J. McCarron December 7, 2007 1 Introduction Acousto-optic modulators (AOMs) are useful devices which allow the frequency, intensity and direction of a laser beam

More information

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b a Cymer Inc. 77 Thornmint Ct., San Diego, CA 97 USA

More information

PHYS 39a Lab 3: Microscope Optics

PHYS 39a Lab 3: Microscope Optics PHYS 39a Lab 3: Microscope Optics Trevor Kafka December 15, 2014 Abstract In this lab task, we sought to use critical illumination and Köhler illumination techniques to view the image of a 1000 lines-per-inch

More information

Light Control and Efficacy using Light Guides and Diffusers

Light Control and Efficacy using Light Guides and Diffusers Light Control and Efficacy using Light Guides and Diffusers LEDs 2012 Michael Georgalis, LC Marketing Manager, Fusion Optix October 11, 2012 Agenda Introduction What Is Light Control? Improves Application

More information

Fundamentals of modern UV-visible spectroscopy. Presentation Materials

Fundamentals of modern UV-visible spectroscopy. Presentation Materials Fundamentals of modern UV-visible spectroscopy Presentation Materials The Electromagnetic Spectrum E = hν ν = c / λ 1 Electronic Transitions in Formaldehyde 2 Electronic Transitions and Spectra of Atoms

More information

Diffraction of a Circular Aperture

Diffraction of a Circular Aperture Diffraction of a Circular Aperture Diffraction can be understood by considering the wave nature of light. Huygen's principle, illustrated in the image below, states that each point on a propagating wavefront

More information

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer Sören Irmer Workshop "Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen - Mühlleithen, 02. März 2004 Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer

More information

Encoders for Linear Motors in the Electronics Industry

Encoders for Linear Motors in the Electronics Industry Technical Information Encoders for Linear Motors in the Electronics Industry The semiconductor industry and automation technology increasingly require more precise and faster machines in order to satisfy

More information

RAY TRACING UNIFIED FIELD TRACING

RAY TRACING UNIFIED FIELD TRACING RAY TRACING Start to investigate the performance of your optical system using 3D ray distributions, dot diagrams of ray positions and directions, and optical path length. GEOMETRIC FIELD TRACING Switch

More information

P R E A M B L E. Facilitated workshop problems for class discussion (1.5 hours)

P R E A M B L E. Facilitated workshop problems for class discussion (1.5 hours) INSURANCE SCAM OPTICS - LABORATORY INVESTIGATION P R E A M B L E The original form of the problem is an Experimental Group Research Project, undertaken by students organised into small groups working as

More information

Introduction to ASML PAS 5500 Wafer Alignment and Exposure Dr. Lynn Fuller Stephanie Bolster

Introduction to ASML PAS 5500 Wafer Alignment and Exposure Dr. Lynn Fuller Stephanie Bolster ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to ASML PAS 5500 Wafer Alignment and Exposure Dr. Lynn Fuller Stephanie Bolster Webpage: http://people.rit.edu/lffeee 82 Lomb

More information

Bio 321 Lightmicroscopy Electronmicrosopy Image Processing

Bio 321 Lightmicroscopy Electronmicrosopy Image Processing Bio 321 Lightmicroscopy Electronmicrosopy Image Processing Urs Ziegler Center for Microscopy and Image Analysis Light microscopy (Confocal Laser Scanning Microscopy) Light microscopy (Confocal Laser Scanning

More information

Low Power and Reliable SRAM Memory Cell and Array Design

Low Power and Reliable SRAM Memory Cell and Array Design Springer Series in Advanced Microelectronics 31 Low Power and Reliable SRAM Memory Cell and Array Design Bearbeitet von Koichiro Ishibashi, Kenichi Osada 1. Auflage 2011. Buch. XI, 143 S. Hardcover ISBN

More information

Introduction to Optics

Introduction to Optics Second Edition Introduction to Optics FRANK L. PEDROTTI, S.J. Marquette University Milwaukee, Wisconsin Vatican Radio, Rome LENO S. PEDROTTI Center for Occupational Research and Development Waco, Texas

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

Chapter 6. Photolithography 2005/10/18 1

Chapter 6. Photolithography 2005/10/18 1 Chapter 6 Photolithography 2005/10/18 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

To meet the requirements of demanding new

To meet the requirements of demanding new Optimising LED manufacturing LED manufacturers seek new methods to reduce manufacturing costs and improve productivity in an increasingly demanding market. Tom Pierson, Ranju Arya, Columbine Robinson of

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

6) How wide must a narrow slit be if the first diffraction minimum occurs at ±12 with laser light of 633 nm?

6) How wide must a narrow slit be if the first diffraction minimum occurs at ±12 with laser light of 633 nm? Test IV Name 1) In a single slit diffraction experiment, the width of the slit is 3.1 10-5 m and the distance from the slit to the screen is 2.2 m. If the beam of light of wavelength 600 nm passes through

More information

Module 13 : Measurements on Fiber Optic Systems

Module 13 : Measurements on Fiber Optic Systems Module 13 : Measurements on Fiber Optic Systems Lecture : Measurements on Fiber Optic Systems Objectives In this lecture you will learn the following Measurements on Fiber Optic Systems Attenuation (Loss)

More information

It has long been a goal to achieve higher spatial resolution in optical imaging and

It has long been a goal to achieve higher spatial resolution in optical imaging and Nano-optical Imaging using Scattering Scanning Near-field Optical Microscopy Fehmi Yasin, Advisor: Dr. Markus Raschke, Post-doc: Dr. Gregory Andreev, Graduate Student: Benjamin Pollard Department of Physics,

More information

Copyright 1996 IEEE. Reprinted from IEEE MTT-S International Microwave Symposium 1996

Copyright 1996 IEEE. Reprinted from IEEE MTT-S International Microwave Symposium 1996 Copyright 1996 IEEE Reprinted from IEEE MTT-S International Microwave Symposium 1996 This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh extreme lithography extreme lithography xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh Wilhelm-Runge-Str. 11 89081 Ulm Germany phone +49 731 505 59 00 fax +49 731 505 59 05

More information

Waves - Transverse and Longitudinal Waves

Waves - Transverse and Longitudinal Waves Waves - Transverse and Longitudinal Waves wave may be defined as a periodic disturbance in a medium that carries energy from one point to another. ll waves require a source and a medium of propagation.

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Physics 10. Lecture 29A. "There are two ways of spreading light: to be the candle or the mirror that reflects it." --Edith Wharton

Physics 10. Lecture 29A. There are two ways of spreading light: to be the candle or the mirror that reflects it. --Edith Wharton Physics 10 Lecture 29A "There are two ways of spreading light: to be the candle or the mirror that reflects it." --Edith Wharton Converging Lenses What if we wanted to use refraction to converge parallel

More information

UNIT I: INTRFERENCE & DIFFRACTION Div. B Div. D Div. F INTRFERENCE

UNIT I: INTRFERENCE & DIFFRACTION Div. B Div. D Div. F INTRFERENCE 107002: EngineeringPhysics Teaching Scheme: Lectures: 4 Hrs/week Practicals-2 Hrs./week T.W.-25 marks Examination Scheme: Paper-50 marks (2 hrs) Online -50marks Prerequisite: Basics till 12 th Standard

More information

Optical Design Tools for Backlight Displays

Optical Design Tools for Backlight Displays Optical Design Tools for Backlight Displays Introduction Backlights are used for compact, portable, electronic devices with flat panel Liquid Crystal Displays (LCDs) that require illumination from behind.

More information

3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY

3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY 3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY Prepared by Duanjie Li, PhD & Andrea Novitsky 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard

More information

GPR Polarization Simulation with 3D HO FDTD

GPR Polarization Simulation with 3D HO FDTD Progress In Electromagnetics Research Symposium Proceedings, Xi an, China, March 6, 00 999 GPR Polarization Simulation with 3D HO FDTD Jing Li, Zhao-Fa Zeng,, Ling Huang, and Fengshan Liu College of Geoexploration

More information

GRID AND PRISM SPECTROMETERS

GRID AND PRISM SPECTROMETERS FYSA230/2 GRID AND PRISM SPECTROMETERS 1. Introduction Electromagnetic radiation (e.g. visible light) experiences reflection, refraction, interference and diffraction phenomena when entering and passing

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

PUMPED Nd:YAG LASER. Last Revision: August 21, 2007

PUMPED Nd:YAG LASER. Last Revision: August 21, 2007 PUMPED Nd:YAG LASER Last Revision: August 21, 2007 QUESTION TO BE INVESTIGATED: How can an efficient atomic transition laser be constructed and characterized? INTRODUCTION: This lab exercise will allow

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Using the Normalized Image Log-Slope

Using the Normalized Image Log-Slope T h e L i t h o g r a p h y E x p e r t (Winter 2001) Using the Normalized mage Log-Slope Chris A. Mack, FNLE Technologies, A Division of KLA-Tencor, Austin, Texas Projection imaging tools, such as scanners,

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

3.5.4.2 One example: Michelson interferometer

3.5.4.2 One example: Michelson interferometer 3.5.4.2 One example: Michelson interferometer mirror 1 mirror 2 light source 1 2 3 beam splitter 4 object (n object ) interference pattern we either observe fringes of same thickness (parallel light) or

More information

WAVELENGTH OF LIGHT - DIFFRACTION GRATING

WAVELENGTH OF LIGHT - DIFFRACTION GRATING PURPOSE In this experiment we will use the diffraction grating and the spectrometer to measure wavelengths in the mercury spectrum. THEORY A diffraction grating is essentially a series of parallel equidistant

More information

Improved predictive modeling of white LEDs with accurate luminescence simulation and practical inputs

Improved predictive modeling of white LEDs with accurate luminescence simulation and practical inputs Improved predictive modeling of white LEDs with accurate luminescence simulation and practical inputs TracePro Opto-Mechanical Design Software s Fluorescence Property Utility TracePro s Fluorescence Property

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Section 5.0 : Horn Physics. By Martin J. King, 6/29/08 Copyright 2008 by Martin J. King. All Rights Reserved.

Section 5.0 : Horn Physics. By Martin J. King, 6/29/08 Copyright 2008 by Martin J. King. All Rights Reserved. Section 5. : Horn Physics Section 5. : Horn Physics By Martin J. King, 6/29/8 Copyright 28 by Martin J. King. All Rights Reserved. Before discussing the design of a horn loaded loudspeaker system, it is

More information

Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm

Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm Progress In Electromagnetics Research Symposium Proceedings, Taipei, March 5 8, 3 359 Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm Yoshito Sonoda, Takashi Samatsu, and

More information

Science Standard Articulated by Grade Level Strand 5: Physical Science

Science Standard Articulated by Grade Level Strand 5: Physical Science Concept 1: Properties of Objects and Materials Classify objects and materials by their observable properties. Kindergarten Grade 1 Grade 2 Grade 3 Grade 4 PO 1. Identify the following observable properties

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor U. Hofmann, Fraunhofer ISIT Itzehoe M. Aikio, VTT Finland Abstract Low cost laser scanners for environment

More information

1051-232 Imaging Systems Laboratory II. Laboratory 4: Basic Lens Design in OSLO April 2 & 4, 2002

1051-232 Imaging Systems Laboratory II. Laboratory 4: Basic Lens Design in OSLO April 2 & 4, 2002 05-232 Imaging Systems Laboratory II Laboratory 4: Basic Lens Design in OSLO April 2 & 4, 2002 Abstract: For designing the optics of an imaging system, one of the main types of tools used today is optical

More information

FTIR Instrumentation

FTIR Instrumentation FTIR Instrumentation Adopted from the FTIR lab instruction by H.-N. Hsieh, New Jersey Institute of Technology: http://www-ec.njit.edu/~hsieh/ene669/ftir.html 1. IR Instrumentation Two types of instrumentation

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

How To Understand Light And Color

How To Understand Light And Color PRACTICE EXAM IV P202 SPRING 2004 1. In two separate double slit experiments, an interference pattern is observed on a screen. In the first experiment, violet light (λ = 754 nm) is used and a second-order

More information

A wave lab inside a coaxial cable

A wave lab inside a coaxial cable INSTITUTE OF PHYSICS PUBLISHING Eur. J. Phys. 25 (2004) 581 591 EUROPEAN JOURNAL OF PHYSICS PII: S0143-0807(04)76273-X A wave lab inside a coaxial cable JoãoMSerra,MiguelCBrito,JMaiaAlves and A M Vallera

More information

Optical Communications

Optical Communications Optical Communications Telecommunication Engineering School of Engineering University of Rome La Sapienza Rome, Italy 2005-2006 Lecture #2, May 2 2006 The Optical Communication System BLOCK DIAGRAM OF

More information

Porous silicon based optical multilayers

Porous silicon based optical multilayers Porous silicon based optical multilayers PhD thesis János Volk Supervisor: Dr. István Bársony MTA Research Institute for Technical Physics and Materials Sciences Budapest 2005 Premises Due to the discovery

More information

Reprint (R22) Avoiding Errors in UV Radiation Measurements. By Thomas C. Larason July 2001. Reprinted from Photonics Spectra, Laurin Publishing

Reprint (R22) Avoiding Errors in UV Radiation Measurements. By Thomas C. Larason July 2001. Reprinted from Photonics Spectra, Laurin Publishing Reprint (R22) Avoiding Errors in UV Radiation Measurements By Thomas C. Larason July 2001 Reprinted from Photonics Spectra, Laurin Publishing Gooch & Housego 4632 36 th Street, Orlando, FL 32811 Tel: 1

More information

Broadband THz Generation from Photoconductive Antenna

Broadband THz Generation from Photoconductive Antenna Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 331 Broadband THz Generation from Photoconductive Antenna Qing Chang 1, Dongxiao Yang 1,2, and Liang Wang 1 1 Zhejiang

More information

PHYS 222 Spring 2012 Final Exam. Closed books, notes, etc. No electronic device except a calculator.

PHYS 222 Spring 2012 Final Exam. Closed books, notes, etc. No electronic device except a calculator. PHYS 222 Spring 2012 Final Exam Closed books, notes, etc. No electronic device except a calculator. NAME: (all questions with equal weight) 1. If the distance between two point charges is tripled, the

More information

Crystal Optics of Visible Light

Crystal Optics of Visible Light Crystal Optics of Visible Light This can be a very helpful aspect of minerals in understanding the petrographic history of a rock. The manner by which light is transferred through a mineral is a means

More information

Physical Science Study Guide Unit 7 Wave properties and behaviors, electromagnetic spectrum, Doppler Effect

Physical Science Study Guide Unit 7 Wave properties and behaviors, electromagnetic spectrum, Doppler Effect Objectives: PS-7.1 Physical Science Study Guide Unit 7 Wave properties and behaviors, electromagnetic spectrum, Doppler Effect Illustrate ways that the energy of waves is transferred by interaction with

More information

Nikon Mini Steppers. For MEMS, LEDs, and More. Nikon Mini Steppers

Nikon Mini Steppers. For MEMS, LEDs, and More. Nikon Mini Steppers Nikon Mini Steppers For MEMS, LEDs, and More Nikon Mini Steppers Nikon Mini Steppers For MEMS, LEDs, and More Background Nikon Engineering Co. Ltd. released the first NES PrA Mini Stepper lithography systems

More information